Ho lokolloa ha kernel ea Linux 5.16

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux kernel 5.16. Har'a liphetoho tse hlokomelehang: mohala oa futex_waitv ho ntlafatsa ts'ebetso ea lipapali tsa Windows ho Veine, ho latela phoso ho FS ka fanotify, mohopolo oa folios tsamaisong ea taolo ea memori, ts'ehetso ea litaelo tsa processor ea AMX, bokhoni ba ho boloka mohopolo bakeng sa li-sockets tsa marang-rang, ts'ehetso ea ho arola liphutheloana sethaleng sa netfilter "egress", ho sebelisa DAMON subsystem ho leleka ka matla libaka tsa mohopolo tse sa sebelisoeng, ho ntlafatsa ho sebetsana le meroalo e mengata ea ho ngola, ho tšehetsa li-drive tse ngata tse thata.

Phetolelo e ncha e kenyelletsa litokiso tse 15415 tse tsoang ho bahlahisi ba 2105, boholo ba patch ke 45 MB (liphetoho li ile tsa ama lifaele tsa 12023, mela ea khoutu ea 685198 e kentsoe, mela ea 263867 e hlakotsoe). Hoo e ka bang 44% ea liphetoho tsohle tse hlahisitsoeng ho 5.16 li amana le bakhanni ba lisebelisoa, hoo e ka bang 16% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 16% e amana le stack ea marang-rang, 4% e amana le mekhoa ea lifaele, le 4% li amana le li-subsystem tsa kernel tse ka hare.

Mekhoa e mecha ea bohlokoa ho kernel 5.16:

  • Disk Subsystem, I/O le File Systems
    • Lisebelisoa li kentsoe mochining oa fanotify ho beha leihlo boemo ba sistimi ea faele le ho latela hore na liphoso li etsahetse hokae. Tlhahisoleseding e mabapi le liphoso e fetisoa ho sebelisoa mofuta o mocha oa liketsahalo - FAN_FS_ERROR, e ka kenngoa lits'ebetsong tsa ho beha leihlo tse sebetsang sebakeng sa basebelisi ho tsebisa mookameli kapa ho qala mekhoa ea ho hlaphoheloa. Ha letoto la liphoso li etsahala cascading, fanotify e etsa bonnete ba hore molaetsa oa phoso oa pele o fanoa hammoho le khaontara ea mathata e akaretsang ho nolofatsa tlhahlobo e latelang ea sesosa sa ho hloleha. Ts'ehetso ea ho latela liphoso hajoale e sebelisoa feela bakeng sa sistimi ea faele ea Ext4.
    • Ts'ebetso e ntlafalitsoeng ea tšubuhlellano ea ho ngola, e etsahalang ha palo ea ts'ebetso ea ho ngola e feta palo ea drive mme sistimi e qobelloa ho thibela likopo tsa ho ngola ho fihlela likopo tse seng li rometsoe li phethiloe. Phetolelong e ncha, mochine oa kernel o sebelisetsoang ho fumana tlhahisoleseding mabapi le ho ba teng ha mosebetsi o mongata le ho thibela mesebetsi o se o hlophisitsoe bocha, kaha ts'ebetsong ea khale ho ne ho e-na le mathata a ho kopanya ts'ebetso ea ho ngola ka bongata le ho falla ha maqephe a memori ho swap. karolo ha memori e sa lekana tsamaisong.
    • Btrfs e sebelisa ts'ehetso bakeng sa theknoloji ea libaka tsa lisebelisoa (Zoned Namespace), e sebelisoang ho li-drive tse thata kapa li-NVMe SSD ho arola sebaka sa polokelo ka libaka, tse etsang lihlopha tsa li-blocks kapa likarolo, tseo ho tsona ho lumelloang ka tatellano feela ea data, ho ntlafatsa sehlopha sohle sa diboloko. Ntle le moo, lintlafatso tse nyane li entsoe ho rengoa ha li-inode, tse ileng tsa eketsa tlhahiso ea tlhahlobo ea dbench ka 3% le ho fokotsa latency ka 11%. Mokhoa oa ho rema lifate o fetotsoe bocha, moo palo ea ts'ebetso ea ho batla le ho thibela sefate e fokolitsoeng ho eketsa ts'ebetso. Ho kenngoa ha likarolo ka har'a sebopeho sa btree ka mokhoa oa batch ho potlakisitsoe (nako ea ho kenya likarolo tse ngata e fokotsehile ka 4%, 'me ho tlosoa ka 12%). E kentse tšehetso e fokolang ea ho sebelisa compression ha u ngola maqephe a sa fellang, hammoho le bokhoni ba ho senya maqephe a manyenyane. Litokisetso li entsoe ho thusa tšehetso bakeng sa mofuta oa bobeli oa protocol bakeng sa taelo ea "romela".
    • Sistimi ea faele ea XFS e fokotsa ts'ebeliso ea mohopolo ka ho sebelisa li-cache tsa slab tse arohaneng bakeng sa lintho tse sebelisoang khafetsa le ho fokotsa lits'ebetso tse ling tsa data.
    • Sistimi ea faele ea Ext4, ke litokiso tsa liphoso feela le lipalo tse nepahetseng haholoanyane tsa li-parameter tse botsoa tsa ho qala tafole ea Inode.
    • Lintlafatso li 'nile tsa sebelisoa boemong ba sesebelisoa sa thibela ho eketsa haholo bokhoni ba ho hokahanya ts'ebetso ho li-CPU cores.
    • E kenyellelitse tšehetso ea pele bakeng sa li-drive tse thata tse nang le li-drive tse ngata tse ikemetseng (li-multi-actuator), e leng se etsang hore ho khonehe ho fihlella likarolo tse 'maloa ka nako e le ngoe libakeng tse fapaneng tsa platter ea makenete.
    • E kentse taelo e ncha ea ioctl CDROM_TIMED_MEDIA_CHANGE ho bona liketsahalo tsa phetoho ea media ho optical disc drive.
    • Sistimi ea faele ea EROFS (Enhanced Read-Only File System) e ekelitse bokhoni ba ho sebetsa ka holim'a lisebelisoa tse ngata tsa polokelo. Lisebelisoa tse fapaneng li ka etsoa 'mapa sebakeng se le seng sa 32-bit block address. Tšehetso ea ho hatella ka ho sebelisa algorithm ea LZMA le eona e kenyelelitsoe.
    • Likhetho tsa ho phahamisa li kenyellelitsoe ho sistimi ea faele ea F2FS ho laola karohano ea lifaele ha e behiloe polokelong (mohlala, ho lokisa lintlafatso bakeng sa ho sebetsa ka polokelo e arohaneng).
    • CEPH e thusa ho theha li-directory tsa asynchronous le ts'ebetso ea ho hlakola ka boiketsetso (ho khutlela boitšoarong ba khale, sebelisa folakha ea '-o wsync' ha u ntse u nyoloha). Tlhokomelo e ekelitsoeng ea metrics e latellang ts'ebetso ea ho kopitsa ea lintho tse kantle.
    • Parameter ea thaba ea tcpnodelay e kenyelelitsoe ho CIFS, e behang mokhoa oa tcp_sock_set_nodelay bakeng sa sokete ea marang-rang, e thibelang ho emela hore mokoloko o tlatse stack ea TCP. Ts'ehetso e ekelitsoeng bakeng sa Lihokelo tsa DFS tse kentsoeng (Distributed File System) ha u phahamisa hape.
    • Ts'ehetso e ekelitsoeng bakeng sa ho tlatsa likopo ho sesebelisoa sa block ka mokhoa oa batch. Teko ea phetoho e bonts'itse keketseho ea matla a ts'ebetso ea ho bala ka mokhoa o sa reroang ho tsoa ho li-drive tsa Optane ho tloha ho 6.1 ho isa ho 6.6 milione ea IOPS ho mantlha a le mong oa CPU.
  • Litšebeletso tsa memori le tsamaiso
    • E kentse mohala oa sistimi e ncha futex_waitv, e u lumellang ho lekola boemo ba futexes tse 'maloa ka nako e le ngoe u sebelisa mohala o le mong oa sistimi. Karolo ena e re hopotsa ts'ebetso ea WaitForMultipleObjects e fumanehang Windows, eo emulation ea eona ka futex_waitv e ka thusang ho ntlafatsa ts'ebetso ea lipapali tsa Windows tse sebetsang tlasa Wine kapa Proton. Ntle le moo, ho emela li-futex ka nako e le 'ngoe ho ka sebelisoa ho ntlafatsa ts'ebetso ea lipapali tsa matsoalloa tsa Linux.
    • Khopolo ea maqephe a maqephe e kentsoe ts'ebetsong, ts'ebeliso ea eona lits'ebetsong tse ling tsa kernel e tla potlakisa taolo ea memori tlasa meroalo e tloaelehileng ea mosebetsi. Hona joale, tsamaiso e ka sehloohong ea tsamaiso ea memori ka har'a kernel le ts'ebetsong ea cache ea leqephe e se e fetiselitsoe ho folios, 'me litsamaiso tsa lifaele li reretsoe ho fetisoa nakong e tlang. Nakong e tlang, ho boetse ho reriloe ho eketsa tšehetso bakeng sa maqephe a mangata a maqephe ho kernel.

      Li-Tome li tšoana le maqephe a kopantsoeng, empa li na le semantics e ntlafetseng le mokhatlo o hlakileng oa mosebetsi. Ho laola memori ea sistimi, RAM e fumanehang e arotsoe ka maqephe a memori, boholo ba eona bo fapana ho ea ka meaho, empa lits'ebetsong tsa x86 li lekantsoe ka li-kilobytes (hangata li-byte tse 4096). Sistimi ea sejoale-joale e tla le mashome a li-gigabyte tsa RAM, e leng se etsang hore taolo ea memori e be thata haholoanyane ka lebaka la tlhoko ea ho sebetsana le palo e kholo ea maqephe a memori. Ho fokotsa palo ea maqephe, kernel e kile ea kenya tšebetsong mohopolo oa maqephe a kopaneng a nang le meaho e fetang leqephe le le leng la mohopolo. Empa API ea ho qhekella maqephe a memori a kopantsoeng e siile ho hongata hoo e ka batloang mme e lebisa tlhokomelong e eketsehileng.

    • Ho kenyellelitsoe sebatli ho sehlophisi sa mesebetsi se nkang pokello ea cache ho CPU. Ho li-processor tse ling, tse kang Kunpeng 920 (ARM) le Intel Jacobsville (x86), palo e itseng ea li-CPU cores, hangata 4, e ka kopanya L3 kapa L2 cache. Ho ela hloko li-topology tse joalo ho ka ntlafatsa haholo katleho ea ho aba mesebetsi ho li-CPU cores ho kemiso ea mosebetsi, kaha ho tsamaisa mesebetsi ka har'a sehlopha se tšoanang sa CPU ho lumella ho eketsa phihlello ea mohopolo le ho fokotsa likhohlano tsa cache.
    • Ts'ehetso e ekelitsoeng bakeng sa litaelo tsa AMX (Advanced Matrix Extensions) tse kentsoeng tšebetsong ho li-processor tse tlang tsa Intel Xeon Scalable, tse bitsoang Sapphire Rapids. AMX e fana ka lirejisetara tse ncha tse lokisehang tsa TMM "TILE" le litaelo tsa ho hlophisa lintlha lirejiseteng tsena, joalo ka TMUL (Tile matrix MULtiply) bakeng sa katiso ea matrix.
    • Likarolo tse 'maloa tse ncha li kentsoe tšebetsong ho ipapisitsoe le DAMON (Data Access MONitor) e kentsoeng tokollong ea ho qetela, e u lumellang hore u shebelle phihlello ea data ho RAM mabapi le ts'ebetso e khethiloeng e sebetsang sebakeng sa mosebelisi. Mohlala, subsystem e etsa hore ho khonehe ho sekaseka hore na ke libaka life tsa memori tseo ts'ebetso e fihletsoeng nakong ea ts'ebetso eohle ea eona, le hore na ke libaka life tsa memori tse ileng tsa lula li sa tsejoe.
      • DAMON_RECLAIM ho supa le ho leleka libaka tsa memori tse so kang tsa fihlelleha. Mochini o ka sebelisoa ho leleka maqephe a memori a bonolo ha memori ea mahala e le haufi le ho khathala.
      • DAMOS (Data Access Monitoring-based Operation Schemes) bakeng sa ho sebelisa ts'ebetso e boletsoeng ea madvise(), joalo ka ho lokolla memori e eketsehileng ea mahala, ho sebetsana le libaka tsa memori tseo ho tsona ho nang le maqhubu a itseng a phihlello ea memori. Litekanyetso tsa DAMOS li hlophisitsoe ka li-debugfs.
      • Bokhoni ba ho beha leihlo sebaka sa memori ea aterese (eo pele e neng e le liaterese tsa nnete feela tse neng li ka beoa leihlo).
    • Ts'ebetsong ea zstd compression algorithm e nchafalitsoe ho mofuta oa 1.4.10, e ntlafalitseng haholo ts'ebetso ea lits'ebetso tse fapaneng tsa kernel tse sebelisang khatello (mohlala, ho notlolla setšoantšo sa kernel ho potlakisitsoe ke 35%, ts'ebetso ea ho notlolla data e hatelitsoeng. ho Btrfs le SquashFS e eketsehile ka 15%, 'me ho ZRAM - ka 30%). Pele kernel e ne e sebelisa ts'ebetsong e arohaneng ea zstd, e ipapisitseng le mofuta oa 1.3.1, e ileng ea lokolloa lilemong tse tharo tse fetileng 'me e sa kenyelletse lintlafatso tse ngata tsa bohlokoa. Ntle le ho fetela mofuteng oa hajoale, patch e ekelitsoeng e boetse e nolofatsa khokahano le lekala le holimo la zstd, e u lumella ho hlahisa khoutu ea ho kenyeletsoa kernel ka kotloloho ho tsoa polokelong e kholo ea zstd. Nakong e tlang, khoutu ea zstd e kernel e reretsoe ho nchafatsoa ha mefuta e mecha ea laebrari ea zstd e lokolloa.
    • Karolo e kholo ea lintlafatso e entsoe ho sistimi e nyane ea eBPF. E kenyellelitse bokhoni ba ho letsetsa mesebetsi ea module ea kernel ho tsoa mananeong a BPF. Ts'ebetso ea bpf_trace_vprintk () e kentsoe ts'ebetsong, ho fapana le bpf_trace_printk (), e u lumellang ho hlahisa likhang tse fetang tse tharo ka nako e le 'ngoe. Sebopeho se secha sa polokelo ea data (BPF mapa) sefahla sa palesa se kentsoe, se u lumellang ho sebelisa sebopeho sa data sa probabilistic sa lebitso le tšoanang ho fumana boteng ba element sete. Sebopeho se secha sa BTF_KIND_TAG se kentsoe, se ka sebelisoang mananeong a BPF ho kopanya li-tag ho li-parameter tsa ts'ebetso, mohlala, ho nolofatsa mokhoa oa ho lemoha liphoso mananeong a basebelisi. Ho libbpf, hoa khonahala ho iketsetsa likarolo tsa hau tsa .rodata.*/.data.*, ts'ehetso ea liketsahalo tsa uprobe le kprobe trace e kentsoe tšebetsong, 'me API e kentsoe bakeng sa ho kopitsa mefuta eohle ea BTF ho tloha nthong e ngoe ho ea ho e 'ngoe. Tšehetso ea AF_XDP e tlositsoe ho libbpf ho ea laebraring e ka thoko ea libxdp. Bakeng sa meralo ea MIPS, ho kentsoe komporo ea JIT bakeng sa mochini o sebetsang oa BPF.
    • Bakeng sa meralo ea ARM64, ts'ehetso ea li-extensions tsa ARMv8.6 bakeng sa nako e kentsoe ts'ebetsong, ho kenyelletsa le tse lumellang boemeli bo ikemetseng ba lirekoto tsa sistimi ntle le ho sebelisa litaelo tsa ISB.
    • Bakeng sa meralo ea PA-RISC, bokhoni ba ho sebelisa mochini oa KFENCE ho bona liphoso ha o sebetsa ka mohopolo o kentsoe ts'ebetsong, mme ts'ehetso ea mochini oa boemo ba lebelo la KCSAN e kentsoe.
    • Hoa khonahala ho hlophisa litokelo tsa phihlello ho li-tracef boemong ba basebelisi ka bomong le lihlopha; mohlala, joale u ka lumella phihlello ea lisebelisoa tsa ho latela feela ho litho tsa sehlopha se itseng.
  • Virtualization le Tšireletso
    • The io_uring le device-mapper subsystems e sebelisa tšehetso bakeng sa ho hlahisa liketsahalo tsa tlhahlobo. io_uring e fana ka bokhoni ba ho laola phihlello ka li-module tsa LSM. E kentse bokhoni ba ho hlahloba mohala oa sistimi ea openat2().
    • Khoutu ea kernel ha e na lipolelo tsa linyeoe tse tsoelang pele ho switjha (ha ho na ho khutla kapa khefu ka mor'a thibelo ea nyeoe ka 'ngoe). Ha u haha ​​​​kernel, joale ho tla khoneha ho sebelisa mokhoa oa "-Wimplicit-fallthrough".
    • Liphetoho tse kenyellelitsoeng ho tiisa licheke tsa meeli ha u etsa mosebetsi oa memcpy ().
    • Io_uring asynchronous I/O interface e sebelisa bokhoni ba ho sebelisa maano a ts'ireletso a hlalositsoeng ke SELinux le li-module tsa Smack ho ts'ebetso ea I/O.
    • The subsystem ea IMA (Integrity Measurement Architecture), e lumellang ts'ebeletso ea kantle ho netefatsa boemo ba li-kernel subsystems ho netefatsa bonnete ba tsona, e sebelisa bokhoni ba ho sebelisa melao e ipapisitseng le ID ea sehlopha (GID) eo faele e leng ho eona kapa eo mosebelisi e leng ho eona. ho fihlella faele ke ea.
    • E emisitsoe ka ho sa feleng mekhoa e meng e tsoetseng pele ea ho sireletsa likhoele tsa seccomp() ho litlhaselo tsa Specter, tse neng li nkuoa li sa hlokahale ebile li sa ka tsa ntlafatsa ts'ireletso haholo, empa li ile tsa ama ts'ebetso e mpe. Tšebeliso ea tšireletso ea Retpoline e ntlafalitsoe.
    • Ts'ebetsong ea mochine oa cryptoloop o tlositsoe, o ileng oa nkeloa sebaka ka 2004 ke dm-crypt mme, ha ho hlokahala, o tšehetsa li-algorithms tse tšoanang.
    • Ka mokhoa o ikhethileng, phihlello e se nang tokelo ea sistimi e nyane ea eBPF e thibetsoe. Phetoho e entsoe ho thibela mananeo a BPF hore a se ke a sebelisoa ho qoba ts'ireletso khahlanong le litlhaselo tse lehlakoreng. Haeba ho hlokahala, molaoli a ka khutlisetsa bokhoni ba basebelisi ba se nang tokelo ea ho sebelisa eBPF.
    • The ACRN hypervisor, e etselitsoeng mesebetsi ea nako ea sebele le ho sebelisoa lits'ebetsong tsa bohlokoa tsa mission, e ekelitse ts'ehetso bakeng sa ho theha / ho hlakola lisebelisoa tsa sebele le ho fetisetsa lisebelisoa tsa MMIO.
    • Ts'ehetso bakeng sa litlhaloso tsa KPP (Key-agreement Protocol Primitives) e kentsoe mochineng oa crypto, ho nolofatsa mohopolo oa ho nts'etsapele bakhanni ba li-cryptosystems.
    • Hyper-V hypervisor e se e ts'ehetsa mokhoa oa ho itšehla thajana oa mochini, o kenyelletsang ho ngolisoa ha litaba tsa memori.
    • KVM hypervisor e ekelitse tšehetso bakeng sa meralo ea RISC-V. Bokhoni ba ho falla mechini e sebetsang e sebelisang li-extensions tsa AMD SEV le SEV-ES ka har'a tikoloho ea moamoheli bo kentsoe tšebetsong. API e ekelitsoeng bakeng sa phalliso e phelang ea litsamaiso tsa baeti tse patiloeng ho sebelisoa AMD SEV (Secure Encrypted Virtualization).
    • Bakeng sa meralo ea PowerPC, mokhoa oa STRICT_KERNEL_RWX o lumelloa ka ho sa feleng, o thibelang tšebeliso ea maqephe a memori a fumanehang ka nako e le 'ngoe bakeng sa ho ngola le ho phethahatsa.
    • Lits'ebetsong tsa 32-bit x86, tšehetso ea hotplug ea memori e khaotsoe, e seng e sa sebetse nako e fetang selemo.
    • Laeborari ea liblockdep e tlositsoe kernel mme joale e tla bolokoa e arohane le kernel.
  • Sistimi e nyane ea marang-rang
    • Bakeng sa li-sockets, khetho e ncha SO_RESERVE_MEM e kentsoe ts'ebetsong, eo ka eona u ka bolokang palo e itseng ea memori bakeng sa sokete, e tla lula e le teng bakeng sa sokete mme e ke ke ea tlosoa. Ho sebelisa khetho ena ho u lumella ho finyella ts'ebetso e eketsehileng ka ho fokotsa kabo ea memori le ts'ebetso ea ho khutlisetsa ts'ebetso ho stack ea marang-rang, haholo-holo ha maemo a tlaase a memori a etsahala tsamaisong.
    • Ts'ehetso e ekelitsoeng bakeng sa protocol ea Automatic Multicast Tunneling (RFC 7450), e lumellang ho fana ka sephethephethe sa multicast ho tsoa marang-rang a tšehetsang Multicast ho ba amohelang marang-rang ntle le Multicast. Protocol e sebetsa ka ho kenyelletsa lipakete tsa UDP.
    • Kakaretso e ntlafalitsoeng ea lintlha tsa IOAM (In-situ Operations, Administration, and Maintenance) lipaketeng tsa lipalangoang.
    • Bokhoni ba ho laola mekhoa ea ho sebelisa matla a transceiver bo kentsoe ho ethtool netlink API.
    • The netfilter subsystem e sebelisa bokhoni ba ho arola lipakete boemong ba egress, i.e. sethaleng ha mokhanni a amohela pakete ho tsoa ho kernel network stack. Ho li-nftables, tšehetso ea li-filters tse tsamaellanang e hlahile ho mofuta oa 1.0.1. Netfilter e ekelitse bokhoni ba ho bapisa le ho fetola lihlooho tsa ka hare le data bakeng sa UDP le TCP (hlooho e ka hare / payload) e tlang ka mor'a hlooho ea lipalangoang.
    • E kentse li-parameter tse ncha tsa sysctl arp_evict_nocarrier le ndisc_evict_nocarrier, ha e setiloe, cache ea ARP le tafole ea ndisc (ho sibolloa ha boahelani) e tla hlakoloa ha ho ka ba le ho hlōleha ha khokahanyo (NOCARRIER).
    • Mekhoa e tlaase ea Latency, Low Loss le Scalable Throughput (L4S) e kenyelelitsoe ho fq_codel (Controlled Delay) mokhoa oa ho laola queue network.
  • Lisebelisoa
    • Mokhanni oa amdgpu o fana ka tšehetso ea pele bakeng sa litlhaloso tsa DP 2.0 (DisplayPort 2.0) le DisplayPort tunneling holim'a USB4. Bakeng sa li-APU tsa Cyan Skillfish (tse nang le GPU Navi 1x) tšehetso bakeng sa balaoli ba pontšo e kentsoe. Tšehetso bakeng sa li-APU tsa Yellow Carp (li-processor tsa mobile tsa Ryzen 6000 "Rembrandt") li ekelitsoe.
    • Mokhanni oa i915 o tsitsisa tšehetso bakeng sa li-chips tsa Intel Alderlake S le lisebelisoa tsa ts'ehetso bakeng sa theknoloji ea Intel PXP (Protected Xe Path), e leng se u lumellang ho hlophisa seboka sa litšoantšo tse sirelelitsoeng ka hardware ho litsamaiso tse nang le Intel Xe chips.
    • Mosebetsi o entsoe ho mokhanni oa nouveau ho lokisa liphoso le ho ntlafatsa mokhoa oa khoutu.
    • Ts'ehetso e ekelitsoeng bakeng sa li-Vortex CPU tse lumellanang le x86 (Vortex86MX). Linux e kile ea sebetsa ho li-processor tse tšoanang pele, empa ho ne ho hlokahala ho tsebahatsa ka ho hlaka li-CPU tse boletsoeng ho tima ts'ireletso khahlano le litlhaselo tsa Specter/Meltdown, tse sa sebetseng ho li-chips tse boletsoeng.
    • E kenyellelitse tšehetso ea pele bakeng sa li-platform tsa x86 bakeng sa Surface Pro 8 le Surface Laptop Studio.
    • Mokhanni o kenyellelitsoeng ho ts'ehetsa li-chips tsa molumo tse sebelisoang ho AMD Yellow Carp, Van Gogh APUs, hape o kentse tšehetso bakeng sa litsamaiso tsa molumo le li-codec Cirrus CS35L41, Maxim MAX98520/MAX98360A, Mediatek MT8195, Nuvoton NAU8821, NVIDIA Tegra210, NXP i.comRealmx8ULPRe, Real Audio, ALC5682I-VS, RT5682S, RT9120, Rockchip RV1126 le RK3568.
    • Mokhanni oa ishtp_eclite o kenyellelitsoe ho fihlella li-control tse kentsoeng tsa Intel PSE (Programmable Service Engine) tse sebelisang ISHTP (Integratd Sensor Hub Transport Protocol), joalo ka betri, mocheso, le UCSI (USB Type-C Connector System Software) sebopeho sa tlhahisoleseling se amanang).
    • E kentse mokhanni bakeng sa balaoli ba papali ea Nintendo Switch e tšehetsang Switch Pro le Joy-Cons. Tšehetso e ekelitsoeng bakeng sa matlapa a Wacom Intuos BT (CTL-4100WL/CTL-6100WL) le Apple 2021 Magic Keyboard. Ts'ehetso e ntlafalitsoeng bakeng sa balaoli ba Sony PlayStation DualSense. Ts'ehetso e ekelitsoeng bakeng sa likonopo tsa lehlakore la Xiaomi Mi.
    • E kenyellelitse mokhanni oa RT89 ka tšehetso bakeng sa li-chips tsa Realtek 802.11ax tse se nang mohala, hammoho le bakhanni ba li-adapter tsa Asix AX88796C-SPI Ethernet le li-switch tsa Realtek RTL8365MB-VC.
    • Bakhanni ba PCI le PASemi i1c ba kentsoe bakeng sa li-chips tsa Apple M2.
    • Tšehetso e ekelitsoeng bakeng sa ARM SoС, lisebelisoa le liboto Raspberry Pi Compute Module 4, Fairphone 4, Snapdragon 690, LG G Watch R, Sony Xperia 10 III, Samsung Galaxy S4 Mini Value Edition, Xiaomi MSM8996 (Mi 5, Mi Note 2, Mi 5s , Mi Mix, Mi 5s Plus le Xiaomi Mi 5), Sony Yoshino (Sony Xperia XZ1, and Sony Xperia XZ Premium), F(x)tec Pro1 QX1000, Microchip LAN966, CalAmp LMU5000, Exegin Q5xR5, sama7g5, Samsung ExynosAutov9, Rock RK3566 , RK3399 ROCK Pi 4A+, RK3399 ROCK Pi 4B+, Firefly ROC-RK3328-PC, Firefly ROC-RK3399-PC-PLUS, ASUS Chromebook Tablet CT100, Pine64 Quartz64-A, Netgear110EMX7040G32bins 2GG, Netgear MO8PX779, Netgear, MO1PX1, SNC70, Netgear, MO02PXXNUMX GSXNUMXGXNUMX, ASUS Chromebook Tablet nesa RXNUMXAXNUMXM * , Xilinx Kria, Radxa Zero, JetHub DXNUMX/HXNUMX, Netronix EXNUMXKXNUMX.

Source: opennet.ru

Eketsa ka tlhaloso