sehlooho: litaba tsa inthanete

Sesebelisoa sa Japane sa SLIM se ile sa phela hape 'me sa romela foto ho tsoa Khoeling - baenjiniere ha ba utloisise hore na e entse joang.

Japanese Smart Lander for Investigation Moon (SLIM) e ile ea khona ho phela bosiung ba khoeli ea boraro, 'me, ka mor'a hore e phethe, e ile ea ikopanya hape ka la 23 Mmesa. Katleho ena ea hlolla hobane qalong sesebelisoa sena se ne se sa etselitsoe ho sebetsana ka katleho le maemo a thata nakong ea bosiu ba khoeli, ha mocheso oa tikoloho o theohela ho -170 C °. Mohloli oa setšoantšo: JAXA Mohloli: 3dnews.ru

Huawei o hlahisitse mofuta oa Qiankun bakeng sa litsamaiso tse bohlale tsa ho khanna

Khamphani ea mahlale ea Machaena Huawei e nkile mohato o mong oa ho ba sebapali se seholo indastering ea likoloi tsa motlakase ka ho hlahisa mofuta o mocha o bitsoang Qiankun, oo tlas'a ona o tla hlahisa software bakeng sa ho khanna ka bohlale. Lebitso la lebitso le lecha le kopanya litšoantšo tsa leholimo le Lithaba tsa Kunlun tsa China - k'hamphani e tla rekisa litsamaiso tsa autopilot, hammoho le li-audio le litulo tsa mokhanni, […]

AMD: Mehaho ea Chiplet ho Li-processor tsa EPYC e Thusa ho Fokotsa Phallo ea Khase ea Greenhouse

Justin Murrill, motsamaisi oa boikarabello ba k'hamphani ea AMD, o re qeto ea k'hamphani ea ho sebelisa meralo ea li-chiplet ho li-processor tsa EPYC e fokolitse tlhahiso ea khase e futhumatsang lefatše ka lithane tse mashome a likete ka selemo. AMD e qalile ho hlahisa li-chiplets lilemong tse supileng tse fetileng. Tšebeliso ea mekhoa e mengata ea li-chip ho e-na le lihlahisoa tsa monolithic e fana ka melemo e mengata. Haholo-holo, ho finyelloa ho tenyetseha ho hoholo hoa finyelloa moralong […]

Xfce e tloha IRC ho ea Matrix

Kamora nako ea teko ea likhoeli tse 6, likhokahano tsa projeke ea Xfce ea semmuso e tloha IRC ho ea Matrix. Liteishene tsa khale tsa IRC li tla lula li butsoe hajoale, empa liteishene tsa Matrix li se li le molaong. Phetoho e ama likanale tse latelang: #xfce ho libera.chat → #xfce:matrix.org #xfce-dev on libera.chat → #xfce-dev:matrix.org - puisano ea nts'etsopele #xfce-commits on libera.chat → # xfce- commits:matrix.org - ketsahalo e hlokomelehang ea GitLab Pejana, barupeluoa ba bangata ba IRC […]

Tesla robotaxi e tla bitsoa Cybercab

Ho ea ka moetlo oa khale oa Senyesemane, litekesi tsa USA le linaheng tse ling tse buang Senyesemane hangata li bitsoa "cabs" (ho tsoa ho English cab), kahoo Elon Musk ha aa ka a thatafatsa mosebetsi oa ho reha tekesi ea liroboto ea Tesla, le ka kotara. "Cybercab" o itse e tla bitsoa "Cybercab". Mohloli oa setšoantšo: TeslaSource: 3dnews.ru

SK Hynix e tla haha ​​​​polante e ncha ea semiconductor bakeng sa $ 4 bilione bakeng sa Nvidia e le hore e be le li-chips tsa HBM tse lekaneng.

E mong oa bahlahisi ba kholo ka ho fetisisa lefatšeng ba li-memory chips, k'hamphani ea Korea Boroa SK Hynix e phatlalalitse ka Laboraro hore e rera ho tsetela 5,3 trillion e hapiloeng (e ka bang $ 3,86 bilione) kahong ea semela bakeng sa tlhahiso ea mohopolo oa DRAM Korea Boroa, ho ngola Reuters. Khamphani e hlokometse hore setsi se secha sa tlhahiso se tla shebana haholo le tlhahiso ea li-memory chips tsa HBM. Mohloli oa setšoantšo: […]

Litsi tsa data tsa Apple li sebelisitse motlakase o fetang 2023 TWh ka 2,3

Ho matlafatsa litsi tsa eona tsa data le lits'ebeletso tsa colocation, Apple e sebelisitse 2023 TWh ea motlakase ka 2,344. Datacenter Dynamics e tlaleha hore k'hamphani e na le litsi tsa eona tse supileng tsa data, hammoho le palo e sa tsejoeng ea libaka tsa colocation lefatšeng ka bophara, tšebeliso ea matla ka bobeli ke 100% e fokolitsoeng ke ho reka setifikeiti sa PPA. Ho Environmental Progress Report, k'hamphani e itse setsi sa Mesa, Arizona ke sona se seholo ka ho fetisisa […]

pluto 0.9.2

Ho bile le tokollo e lokisoang 0.9.2 ea mofetoleli oa console le laebrari e kentsoeng ea puo ea Pluto - ts'ebetsong e 'ngoe ea puo ea Lua 5.4 e nang le liphetoho tse ngata le ntlafatso ea syntax, laebrari e tloaelehileng le mofetoleli. Barupeluoa ba projeke le bona ba nts'etsapele laebrari ea Soup. Merero e ngotsoe ka C ++ mme e ajoa tlasa laesense ea MIT. Lethathamo la liphetoho: phoso e tsitsitseng ea ho bokella mohahong oa aarch64; mehala e tsitsitseng […]

RT-Thread 5.1 sistimi e sebetsang ea nako ea nnete e phatlalalitsoeng

Ka mor'a selemo sa tsoelo-pele, RT-Thread 5.1, mokhoa oa sebele oa ho sebetsa (RTOS) bakeng sa lisebelisoa tsa Inthanete tsa Lintho, e se e fumaneha. Sistimi ena e ntlafalitsoe ho tloha ka 2006 ke sechaba sa bahlahisi ba Machaena mme hajoale e kentsoe liboto tse 154, li-chips le li-microcontrollers tse ipapisitseng le meralo ea x86, ARM, MIPS, C-SKY, Xtensa, ARC le RISC-V. Moaho oa minimalistic RT-Thread (Nano) o hloka 3 KB feela […]

Ho lokolloa ha sesebelisoa sa ho se tsebise li-database nxs-data-anonymizer 1.4.0

nxs-data-anonymizer 1.4.0 e se e hatisitsoe - sesebelisoa sa ho se tsebise mabitso a PostgreSQL le MySQL/MariaDB/Percona database dumps. Sesebelisoa se ts'ehetsa ho se tsebahale ha data ho ipapisitse le litempele le mesebetsi ea laeborari ea Sprig. Har'a lintho tse ling, u ka sebelisa boleng ba litšiea tse ling bakeng sa mola o tšoanang ho tlatsa. Hoa khonahala ho sebelisa sesebelisoa ka liphaephe tse sa boleloang ka mabitso moleng oa taelo le ho tsamaisa thotobolo ho tsoa polokelong ea mohloli ka kotloloho ho […]