sehlooho: litaba tsa inthanete

Phanele e ka pele ea nyeoe ea Aerocool Streak e arotsoe ka mela e 'meli ea RGB

Basebelisi ba ntseng ba haha ​​​​sistimi e batlang e theko e tlase ea komporo ea lipapali haufinyane ba tla ba le monyetla oa ho reka nyeoe ea Streak, e phatlalalitsoeng ke Aerocool, molemong ona. Sehlahisoa se secha se atolositse mefuta e mengata ea tharollo ea Mid Tower. Phanele e ka pele ea nyeoe e ile ea fumana khanya ea mebala e mengata ka mefuta e 'meli ea RGB ka tšehetso ea mekhoa e fapaneng ea ts'ebetso. Lerako le hlakileng la acrylic le kenngoa karolong e ka thōko. Litekanyo ke 190,1 × 412,8 × 382,6 limilimithara. U ka sebelisa bo-mme […]

Li-processor tsa Ryzen 3000 li tla khona ho sebetsa ka memori ea DDR4-3200 ntle le ho feta.

Li-processor tsa nakong e tlang tsa 7nm AMD Ryzen 3000 tse ipapisitseng le meralo ea Zen 2 li tla khona ho sebetsa ka li-module tsa DDR4-3200 tsa RAM ka ntle ho lebokose, ntle le ho feta overclocking. Sena se ile sa tlalehoa qalong ke mohloli oa VideoCardz, o ileng oa fumana boitsebiso ho e mong oa baetsi ba liboto tsa bo-'mè, 'me joale o tiisitsoe ke mohloli o tsebahalang oa ho lutla ka pseudonym momomo_us. AMD e ntlafatsa tšehetso ea memori ka […]

Mokhoa oa Mozilla

Sehlopha sa ntshetsopele sa sebatli sa Mozilla (Netscape Communicator 5.0) se khethile laeborari ea GTK+ hore e be eona e ka sehloohong bakeng sa nts'etsopele tlas'a XWindow, ka ho etsa joalo e nkela Motif sebaka sa khoebo. Laeborari ea GTK + e entsoe nakong ea nts'etsopele ea mohlophisi oa litšoantšo oa GIMP mme e se e sebelisoa morerong oa GNOME (ntlafatso ea tikoloho ea mahala ea litšoantšo bakeng sa UNIX). Lintlha ho mozilla.org, MozillaZine. Mohloli: linux.org.ru

Bo-rasaense ba thehile mofuta o mocha oa khomphutha ba sebelisa leseli

Baithuti ba fumaneng mangolo Univesithing ea McMaster, ba eteletsoeng pele ke Motlatsi oa Moprofesa oa Chemistry le Chemical Biology Kalaichelvi Saravanamuttu, ba hlalositse mokhoa o mocha oa ho kopanya pampiring e hatisitsoeng koranteng ea saense ea Nature. Bakeng sa lipalo, bo-rasaense ba sebelisitse thepa e bonolo ea polymer e fetohang ho tloha ho metsi ho ea ho gel ho arabela khanya. Bo-ramahlale ba bitsa polymer ena “ntho e ikemetseng ea moloko o latelang e arabelang tšusumetsong le […]

Video: roboto ea maoto a mane HyQReal e hula sefofane

Baetsi ba Mataliana ba thehile roboto e maoto a mane, HyQReal, e khonang ho hapa litlholisano tsa bahale. Video e bontša HyQReal e hula sefofane sa 180-tonne Piaggio P.3 Avanti hoo e ka bang maoto a 33 (10 m). Ketso ena e etsahetse bekeng e fetileng Boema-fofane ba Machaba ba Genoa Cristoforo Columbus. Roboto ea HyQReal, e entsoeng ke bo-rasaense ba setsi sa lipatlisiso se Genoa (Istituto Italiano [...]

USA vs China: e tla mpefala le ho feta

Litsebi tsa Wall Street, joalokaha ho tlalehiloe ke CNBC, li qala ho lumela hore khohlano pakeng tsa United States le Chaena lefapheng la khoebo le moruo e ntse e tsoela pele, le likotlo khahlanong le Huawei, hammoho le keketseho e tsamaisanang le eona ea mesebetsi ea ho kenya thepa ea Chaena. , ke feela mekhahlelo ea pele ea “ntoa” e telele boemong ba moruo. Lenane la S&P 500 le lahlile 3,3%, Dow Jones Industrial Average e theohile ka lintlha tse 400. Litsebi […]

Windows 10 Phatlalatso ea May 2019 e kanna ea se ke ea kenya li-PC tse ling tse nang le li-processor tsa AMD

Leha taba ea hore Windows 10 Ntlafatso ea Mots'eanong 2019 (mofuta oa 1903) e lekoa nako e telele ho feta tloaelo, ntlafatso e ncha e na le mathata. Ho kile ha tlalehoa hore ntjhafatso e ne e koetsoe bakeng sa li-PC tse ling tse nang le bakhanni ba Intel ba sa lumellaneng. Hona joale ho tlalehiloe bothata bo tšoanang bakeng sa lisebelisoa tse thehiloeng ho li-chips tsa AMD. Bothata bo ama bakhanni ba AMD RAID. Haeba mothusi oa ho kenya […]

SpaceX e rometse sehlopha sa pele sa li-satellite ho orbit bakeng sa ts'ebeletso ea Marang-rang ea Starlink

Bilionea Elon Musk's SpaceX e phatlalalitse rokete ea Falcon 40 ho tloha Launch Complex SLC-9 Seteisheneng sa Sesole sa Meea sa Cape Canaveral Florida ka Labone ho nka sehlopha sa pele sa lisathelaete tse 60 ho potoloha Lefatše bakeng sa phepelo ea nako e tlang ea ts'ebeletso ea eona ea Marang-rang ea Starlink. Phatlalatso ea Falcon 9, e etsahetseng ka 10:30 bosiu ka nako ea lehae (04:30 nako ea Moscow ka Labohlano), […]

Hlooho ea Best Buy e lemositse bareki ka ho nyoloha ha litheko ka lebaka la litefiso

Haufinyane, bareki ba tloaelehileng ba Amerika ba ka utloa phello ea ntoa ea khoebo lipakeng tsa United States le China. Bonyane, molaoli ea ka sehloohong oa Best Buy, ketane e kholo ka ho fetisisa ea lisebelisoa tsa elektronike tsa bareki United States, Hubert Joly o lemositse hore bareki ba ka 'na ba hlokofatsoa ke litheko tse phahameng ka lebaka la litefiso tse lokiselitsoeng ke tsamaiso ea Trump. "Ho kenyelletsoa ha mesebetsi ea 25 lekholong ho tla lebisa ho theko e phahameng [...]

GIGABYTE e tla bontša koloi ea pele ea M.2 SSD lefatšeng e nang le sebopeho sa PCIe 4.0

GIGABYTE e bolela hore e ntlafalitse seo ho thoeng ke mochini oa pele oa M.2 solid-state drive (SSD) oa pele lefatšeng o nang le PCIe 4.0 interface. Hopola hore litlhaloso tsa PCIe 4.0 li phatlalalitsoe qetellong ea 2017. Ha ho bapisoa le PCIe 3.0, tekanyetso ena e fana ka makhetlo a mabeli - ho tloha ho 8 ho isa ho 16 GT/s (gigatransactions ka motsotsoana). Kahoo, sekhahla sa phetisetso ea data bakeng sa […]

Huawei e ke ke ea khona ho hlahisa li-smartphones tse nang le tšehetso bakeng sa likarete tsa microSD

Leqhubu la mathata a Huawei, a bakoang ke qeto ea Washington ea ho e kenya lethathamong la "batsho", le ntse le tsoela pele ho hōla. E mong oa balekane ba ho qetela ba k'hamphani ho khaola maqhama le eona ke Mokhatlo oa SD. Sena ha se etsoa se bolela hore Huawei ha e sa lumelloa ho lokolla lihlahisoa, ho kenyeletsoa le li-smartphone, tse nang le li-slots tsa karete ea SD kapa ea microSD. Joalo ka lik'hamphani le mekhatlo e meng e mengata, [...]

Phoso e ho OpenSSL e ile ea roba lits'ebetso tse ling tsa OpenSUSE Tumbleweed kamora ntlafatso

Ho nchafatsa OpenSSL ho mofuta oa 1.1.1b sebakeng se bulehileng sa polokelo ea Tumbleweed ho bakile lits'ebetso tse ling tse amanang le libopenssl tse sebelisang libaka tsa Serussia kapa tsa Ukraine ho senyeha. Bothata bo hlahile ka mor'a hore phetoho e etsoe ho "buffer" ea molaetsa oa phoso (SYS_str_reasons) ho OpenSSL. Buffer e ne e hlalosoa ho 4 kilobytes, empa sena se ne se sa lekana bakeng sa libaka tse ling tsa Unicode. Sephetho sa strerror_r, se sebelisetsoang […]