Ho lokolloa ha kernel ea Linux 5.11

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux kernel 5.11. Har'a liphetoho tse hlokomelehang ka ho fetisisa: tšehetso bakeng sa li-enclaves tsa Intel SGX, mochine o mocha oa ho thibela mehala ea tsamaiso, bese e thusang, thibelo ea ho kopanya li-module ntle le MODULE_LICENSE (), mokhoa oa ho sefa ka potlako bakeng sa mehala ea tsamaiso ka seccomp, ho khaotsa ho tšehetsa ia64 meralo, ho fetisetsa theknoloji ea WiMAX lekaleng la "staging", bokhoni ba ho kenyelletsa SCTP ho UDP.

Phetolelo e ncha e kenyelletsa litokiso tse 15480 ho tsoa ho bahlahisi ba 1991, boholo ba patch ke 72 MB (liphetoho li ile tsa ama lifaele tsa 12090, mela ea khoutu ea 868025 e kentsoe, mela ea 261456 e hlakotsoe). Hoo e ka bang 46% ea liphetoho tsohle tse hlahisitsoeng ho 5.11 li amana le bakhanni ba lisebelisoa, hoo e ka bang 16% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 13% e amana le stack ea marang-rang, 3% e amana le tsamaiso ea lifaele, le 4% li amana le li-subsystem tsa kernel tse ka hare.

Litlhahiso tse ka sehloohong:

  • Disk Subsystem, I/O le File Systems
    • Ho kenyellelitsoe likhetho tse 'maloa ho li-Btrfs bakeng sa ts'ebeliso ha u khutlisetsa data ho sistimi ea faele e senyehileng: "pholosa = ignorebadroots" bakeng sa ho hola, leha ho senyehile lifate tse ling tsa metso (boholo, uuid, reloc data, sesebelisoa, csum, sebaka sa mahala), " pholoso = iphapanyetsa datacsums” ho tima tlhahlobo ea checksum bakeng sa data le "rescue=all" hore ka nako e le 'ngoe e lumelle mekhoa ea 'ignorebadroots', 'ignoredatacssums' le 'nologreplay'. Khetho ea "inode_cache", e neng e tlositsoe pele, e khaotsoe. Khoutu e lokiselitsoe ho kenya ts'ehetso bakeng sa li-block tse nang le metadata le data tse nyane ho feta boholo ba leqephe (PAGE_SIZE), hammoho le ts'ehetso bakeng sa mokhoa oa kabo ea sebaka. Likopo tse sa buuffered (Direct IO) li fetiselitsoe setsing sa marang-rang sa iomap. Ts'ebetso ea lits'ebetso tse 'maloa e ntlafalitsoe; maemong a mang, ho potlakisa ho ka fihla liperesente tse mashome.
    • XFS e sebelisa folakha ea "litlhoko", e bontšang tlhokahalo ea ho lokisoa. Ha folakha ena e setiloe, sistimi ea faele e ke ke ea hlongoa ho fihlela folakha e hlophisoa bocha ke xfs_repair utility.
    • Ext4 e fana feela ka litokiso le lintlafatso tsa liphoso, hammoho le ho hloekisa khoutu.
    • Ho romelloa hape ha litsamaiso tsa lifaele tse kentsoeng holim'a NFS ho lumelletsoe (ke hore, karohano e kentsoeng ka NFS joale e ka romelloa kantle ho naha ka NFS mme ea sebelisoa joalo ka polokelo ea mahareng).
    • The close_range() system call, e lumellang ts'ebetso ea ho koala mefuta eohle ea litlhaloso tsa lifaele tse bulehileng hang-hang, e kentse khetho ea CLOSE_RANGE_CLOEXEC ho koala litlhaloso ka mokhoa o haufi-ufi.
    • Sistimi ea faele ea F2FS e eketsa mehala e mecha ea ioctl() ho lumella taolo ea sebaka sa basebelisi hore na lifaele li bolokoa ka mokhoa o hatelitsoeng. E kenyellelitsoe "compress_mode = "mould" khetho ea ho khetha hore na u ka beha mochine oa khatello ka lehlakoreng la kernel kapa sebakeng sa mosebedisi.
    • E fane ka bokhoni ba ho kenya li-Overlayfs ka lits'ebetso tse sa tsitsang ho sebelisa sebaka se arohaneng sa mabitso sa mosebelisi. Ho netefatsa ho latela ts'ebetsong ea mohlala oa ts'ireletso, ho ile ha etsoa tlhahlobo e felletseng ea khoutu. Overlayfs e boetse e eketsa bokhoni ba ho sebelisa likopi tsa litšoantšo tsa sistimi ea faele ka ho thibela tlhahlobo ea UUID.
    • Sistimi ea faele ea Ceph e ekelitse ts'ehetso bakeng sa protocol ea msgr2.1, e lumellang ts'ebeliso ea algorithm ea AES-GCM ha o fetisetsa data ka mokhoa o patiloeng.
    • dm-multipath module e sebelisa bokhoni ba ho ela hloko kamano ea CPU ("IO affinity") ha u khetha tsela ea likopo tsa I/O.
  • Litšebeletso tsa memori le tsamaiso
    • Mokhoa o mocha oa ho thibela mehala o kentsoe, o ipapisitse le prctl (), e o lumellang hore o hlahise mekhelo sebakeng sa mosebelisi ha o fihlella mohala o itseng oa sistimi le ho etsisa ts'ebetso ea ona. Ts'ebetso ena ea hlokahala ho Veine le Proton ho etsisa mehala ea sistimi ea Windows, e leng ho hlokahalang ho netefatsa ho lumellana le lipapali le mananeo a etsang mehala ea sistimi ka kotloloho ho feta Windows API (mohlala, ho itšireletsa khahlanong le ts'ebeliso e sa lumelloeng).
    • Userfaultfd() system call, e etselitsoeng ho sebetsana le liphoso tsa maqephe (ho fihlella maqepheng a memori a sa abuoang) sebakeng sa mosebelisi, joale e na le bokhoni ba ho tima ts'ebetso e ikhethileng e etsahalang boemong ba kernel ho etsa hore ho be thata le ho feta ho sebelisa hampe bofokoli bo itseng.
    • Ts'ebetso e nyane ea BPF e ekelitse ts'ehetso bakeng sa polokelo ea sebaka sa mosebetsi, e fanang ka tlamahano ea data ho mohlokomeli ea itseng oa BPF.
    • Tlaleho ea tšebeliso ea memori ke mananeo a BPF e hlophisitsoe bocha - ho hlahisitsoe molaoli oa sehlopha sebakeng sa memlock rlimit ho laola tšebeliso ea memori linthong tsa BPF.
    • Mokhoa oa BTF (BPF Type Format), o fanang ka tlhaiso-leseling ea tlhahlobo ea mofuta ho BPF pseudocode, o fana ka ts'ehetso ea li-module tsa kernel.
    • Ts'ehetso e ekelitsoeng bakeng sa ho koala (), renameat2 () le unlinkat () mehala ea sistimi ho io_uring asynchronous I/O interface. Ha o letsetsa io_uring_enter(), ho kenyellelitsoe bokhoni ba ho hlakisa nako (o ka sheba tšehetso bakeng sa ngangisano ho hlakisa nako ea nako u sebelisa folakha ea IORING_FEAT_EXT_ARG).
    • Moralo oa ia64 o sebelisitsoeng ho li-processor tsa Intel Itanium o falliselitsoe sehlopheng sa likhutsana, ho bolelang hore tlhahlobo e felile. Hewlett Packard Enterprise e emisitse ho amohela litaelo tsa lisebelisoa tse ncha tsa Itanium, 'me Intel e entse joalo selemong se fetileng.
    • Tšehetso ea litsamaiso tse thehiloeng ho meralo ea MicroBlaze e sa kenyelletseng yuniti ea taolo ea memori (MMU) e khaotsoe. Litsamaiso tse joalo ha li e-so bonoe bophelong ba letsatsi le letsatsi ka nako e telele.
    • Bakeng sa meralo ea MIPS, ts'ehetso ea tlhahlobo ea tšireletso ea khoutu e ekelitsoe ho sebelisoa ts'ebeliso ea gcov.
    • Ts'ehetso e ekelitsoeng bakeng sa bese e thusang bakeng sa ho hokahana le lisebelisoa tse sebetsang tse ngata tse kopanyang ts'ebetso e hlokang bakhanni ba fapaneng (mohlala, likarete tsa marang-rang tse nang le tšehetso ea Ethernet le RDMA). Bese e ka sebelisoa ho abela mokhanni oa mantlha le oa bobeli sesebelisoa, maemong ao ts'ebeliso ea sistimi e nyane ea MFD (Multi-Function Devices) e leng bothata.
    • Bakeng sa meralo ea RISC-V, tšehetso e kenyellelitsoe bakeng sa sistimi ea kabo ea memori ea CMA (Contiguous Memory Allocator), e ntlafalitsoeng bakeng sa ho fana ka libaka tse kholo tsa mohopolo o sebelisa mekhoa ea motsamao oa leqephe la memori. Bakeng sa RISC-V, lisebelisoa li boetse li kengoa ts'ebetsong ho fokotsa phihlello ho /dev/mem le ho nahanela nako e sitisang ea ho sebetsa.
    • Bakeng sa lisebelisoa tsa 32-bit ARM, tšehetso e kenyelelitsoe bakeng sa sesebelisoa sa ho lokisa aterese ea KASan (Kernel address sanitizer), se thusang ho tseba liphoso ha u sebetsa ka mohopolo. Bakeng sa 64-bit ARM, ts'ebetsong ea KASan e fetotsoe ho sebelisa li-tag tsa MTE (MemTag).
    • E kentse mohala oa sistimi ea epoll_pwait2() ho lumella linako tsa nako ka mokhoa o nepahetseng oa nanosecond (epoll_wait call e laola milliseconds).
    • Sistimi ea kaho joale e bonts'a phoso ha e leka ho aha li-module tsa kernel tse ka jarolloang moo laesense ea khoutu e sa hlalosoang ho sebelisoa MODULE_LICENSE() macro. Ho tloha joale ho ea pele, ho sebelisa EXPORT_SYMBOL () macro bakeng sa mesebetsi e tsitsitseng le hona ho tla baka phoso ea ho haha.
    • Ts'ehetso e ekelitsoeng bakeng sa ho etsa 'mapa oa lintho tsa GEM ho tsoa mohopolong o sebelisitsoeng bakeng sa I/O, e entseng hore ho khonehe ho potlakisa mosebetsi ka foreimi ea meaho e meng.
    • Kconfig e theohile tšehetso bakeng sa Qt4 (ha e ntse e boloka tšehetso bakeng sa Qt5, GTK le Ncurses).
  • Virtualization le Tšireletso
    • Ts'ehetso bakeng sa mokhoa oa ho arabela ka potlako e kenyelelitsoe ho seccomp () mohala oa tsamaiso, e leng se u lumellang hore u tsebe kapele hore na mohala o itseng oa tsamaiso o lumelloa kapa o thibetsoe ho latela "bitmap" ea kamehla e khomaretsoeng ts'ebetsong, e sa hlokeng ho sebetsa. sesebelisoa sa BPF.
    • Likarolo tse kopaneng tsa kernel bakeng sa ho theha le ho laola li-enclave tse ipapisitseng le theknoloji ea Intel SGX (Software Guard eXtensions), e lumellang lits'ebetso ho etsa khoutu libakeng tse ka thoko tsa mohopolo, tseo sistimi eohle e nang le phihlello e fokolang ho tsona.
    • E le karolo ea boikitlaetso ba ho fokotsa phihlello ho tloha sebakeng sa basebelisi ho ea ho MSR (registeri e ikhethileng ea mohlala), ho ngolla rejisetara ea MSR_IA32_ENERGY_PERF_BIAS, e u lumellang hore u fetole mokhoa oa ho sebelisa matla a processor ("tloaelehileng", "performance", "powersave") , e thibetsoe.
    • Bokhoni ba ho thibela ho falla ha mesebetsi e tlang pele haholo lipakeng tsa li-CPU bo tlositsoe lekaleng la kernel-rt bakeng sa litsamaiso tsa nako ea nnete.
    • Bakeng sa litsamaiso tsa ARM64, bokhoni ba ho sebelisa li-tag tsa MTE (MemTag, Memory Tagging Extension) bakeng sa liaterese tsa memori ea matšoao li kentsoe. Ts'ebeliso ea MTE e lumelloa ka ho hlakisa khetho ea SA_EXPOSE_TAGBITS ho sigaction() mme e u lumella ho lekola ts'ebeliso e nepahetseng ea lisupa ho thibela ts'ebeliso ea bofokoli e bakoang ke ho fihlella li-block blocks tse seng li lokolotsoe, buffer overflows, phihlello pele e qala, le ts'ebeliso kantle ho maemo a hajoale.
    • E kentse "DM_VERITY_VERIFY_ROOTHASH_SIG_SECONDARY_KEYRING" paramethara, e dumellang dm-verity subsystem ho lekola mesaeno ya hash ya ditifikeiti tse behilweng ho keyring ya bobedi. Ha e le hantle, ho seta ho u lumella ho netefatsa eseng feela litifikeiti tse hahiloeng ka har'a kernel, empa hape le litifikeiti tse laetsoeng nakong ea ts'ebetso, e leng se etsang hore ho khonehe ho ntlafatsa litifikeiti ntle le ho ntlafatsa kernel eohle.
    • Linux ea mosebelisi e ekelitse ts'ehetso bakeng sa mokhoa oa ho emisa ho ea ho o se nang letho, o o lumellang ho hatsetsa tikoloho le ho sebelisa lets'oao la SIGUSR1 ho tsoha mokhoeng oa ho robala.
    • Mochine oa virtio-mem, o o lumellang ho chesa le ho tlosa memori ho mechine ea sebele, o ekelitse tšehetso ea Big Block Mode (BBM), e etsang hore ho khonehe ho fetisetsa kapa ho nka mohopolo ka li-blocks tse kholo ho feta boholo ba memori ea kernel. thibela, e hlokahalang ho ntlafatsa VFIO ho QEMU.
    • Tšehetso ea CHACHA20-POLY1305 cipher e kenyelelitsoe ts'ebetsong ea kernel ea TLS.
  • Sistimi e nyane ea marang-rang
    • Bakeng sa 802.1Q (VLAN), mochine oa tsamaiso ea ho hlōleha ha khokahanyo (CFM, Connectivity Fault Management) o kentsoe ts'ebetsong, e leng se u lumellang ho khetholla, ho netefatsa le ho arola ho hlōleha ha marang-rang a nang le marokho a tloaelehileng (Virtual Bridged Networks). Ka mohlala, CFM e ka sebelisoa ho arola mathata a marang-rang a nang le mekhatlo e mengata e ikemetseng eo basebetsi ba nang le phihlelo ea lisebelisoa tsa bona feela.
    • Ts'ehetso e ekelitsoeng bakeng sa ho kenya lipakete tsa protocol tsa SCTP ka har'a lipakete tsa UDP (RFC 6951), e u lumellang hore u sebelise SCTP marang-rang a nang le bafetoleli ba liaterese tsa khale ba sa tšehetseng SCTP ka kotloloho, hammoho le ho kenya tšebetsong SCTP ho litsamaiso tse sa faneng ka phihlello e tobileng ho IP. lera.
    • Ts'ebetsong ea theknoloji ea WiMAX e fetiselitsoe sethaleng 'me e reretsoe ho tlosoa nakong e tlang haeba ho se na basebelisi ba hlokang WiMAX. WiMAX ha e sa sebelisoa marang-rang a sechaba, 'me kernel mokhanni feela eo WiMAX e ka sebelisoang ke mokhanni oa Intel 2400m oa khale. Tšehetso ea WiMAX e ile ea emisoa ho NetworkManager network configurator ka 2015. Hajoale, WiMax e batla e nkeloa sebaka ke mahlale a kang LTE, HSPA+ le Wi-Fi 802.11n.
    • Mosebetsi o entsoe ho ntlafatsa ts'ebetso ea ho sebetsana le sephethephethe sa TCP se kenang ka mokhoa oa zerocopy, ke hore. ntle le ho kopitsa ho eketsehileng ho li-buffers tse ncha. Bakeng sa sephethephethe sa boholo bo mahareng, ho koahela mashome kapa makholo a 'maloa a kilobytes ea data, ho sebelisa zerocopy sebakeng sa recvmsg() ho sebetsa haholo. Mohlala, liphetoho tse kentsoeng ts'ebetsong li entse hore ho khonehe ho eketsa bokhoni ba ho sebetsana le sephethephethe sa mofuta oa RPC ka melaetsa ea 32 KB ha u sebelisa zerocopy ka 60-70%.
    • E kentse mehala e mecha ea ioctl() ho theha marokho a marang-rang a hokahanyang likhokahano tse ngata tsa PPP. Bokhoni bo reriloeng bo lumella liforeimi ho tloha mocha o mong ho ea ho o mong, mohlala ho tloha ho PPPoE ho ea sebokeng sa PPPoL2TP.
    • Ho kopanngoa ka har'a motheo oa MPTCP (MultiPath TCP), katoloso ea protocol ea TCP bakeng sa ho hlophisa ts'ebetso ea khokahano ea TCP le ho fana ka lipakete ka nako e le 'ngoe litseleng tse' maloa ka marang-rang a fapaneng a marang-rang a amanang le liaterese tse fapaneng tsa IP. Phatlalatso e ncha e hlahisa tšehetso bakeng sa khetho ea ADD_ADDR ea ho phatlalatsa liaterese tsa IP tse fumanehang tse ka hokahanngoang le tsona ha li eketsa phallo e ncha ho khokahanyo e teng ea MPTCP.
    • E kentse bokhoni ba ho hlophisa liketso ha tekanyetso ea likhetho tsa khokahanyo e fetisitsoe (ho phathahane-khetho). Mokhoa o neng o le teng oa SO_BUSY_POLL o ne o bolela ho fetohela ho softirq ha tekanyetso ea lichelete e felile. Bakeng sa lits'ebetso tse hlokang ho tsoelapele ho sebelisa likhetho, khetho e ncha SO_PREFER_BUSY_POLL e sisinngoe.
    • IPv6 e sebelisa ts'ehetso bakeng sa mekhoa ea SRv6 End.DT4 le End.DT6, e sebelisoang ho theha basebelisi ba bangata ba IPv4 L3 VPNs le VRF (Virtual routing and forwarding) lisebelisoa.
    • Netfilter e kopantse ts'ebetsong ea lipolelo tse behiloeng, tse entseng hore ho khonehe ho hlalosa lipolelo tse ngata bakeng sa karolo ka 'ngoe ea manane a behiloeng.
    • Li-API li kenyellelitsoe ho stack ea 802.11 e se nang mohala ho lokisa meeli ea matla a SAR, hammoho le li-parameter tsa AE PWE le HE MCS. Mokhanni oa Intel iwlwifi o kentse tšehetso bakeng sa 6GHz (Ultra High Band). Mokhanni oa Qualcomm Ath11k o kentse tšehetso bakeng sa theknoloji ea FILS (Fast Initial Link Setup, e emeng joalo ka IEEE 802.11ai), e u lumellang ho tlosa tieho ea ho solla nakong ea ho falla ho tloha sebakeng se seng ho ea ho se seng.
  • Lisebelisoa
    • Mokhanni oa amdgpu o fana ka tšehetso bakeng sa AMD "Green Sardine" APU (Ryzen 5000) le "Dimgrey Cavefish" GPU (Navi 2), hammoho le tšehetso ea pele ea AMD Van Gogh APU e nang le Zen 2 core le RDNA 2 GPU (Navi 2). Tšehetso e ekelitsoeng bakeng sa li-identifiers tse ncha tsa Renoir APU (tse thehiloeng ho Zen 2 CPU le Vega GPU).
    • Mokhanni oa i915 bakeng sa likarete tsa video tsa Intel o tšehetsa theknoloji ea IS (Integer scaling) ka ho kenya ts'ebetsong ea filthara bakeng sa ho eketsa sekhahla ho nahanela boemo ba lipikselse tsa boahelani (Nearest-moahelani interpolation) ho fumana 'mala oa lipikselse tse sieo. Ts'ehetso ea likarete tsa discrete tsa Intel DG1 e ekelitsoe. Ts'ehetso ea theknoloji ea "Big Joiner" e kentsoe ts'ebetsong, e seng e ntse e le teng ho tloha ka li-chips tsa Ice Lake / Gen11 mme e lumella tšebeliso ea transcoder e le 'ngoe ho sebetsana le melapo e' meli, mohlala, bakeng sa tlhahiso ea skrine ea 8K ka DisplayPort e le 'ngoe. E kentse mokhoa oa ho chencha ka mokhoa o sa tsitsang lipakeng tsa li-buffer tse peli mohopolong oa video (async flip).
    • Mokhanni oa nouveau o kentse tšehetso ea pele bakeng sa NVIDIA GPUs e ipapisitseng le Ampere microarchitecture (GA100, GeForce RTX 30xx), ho fihlela joale e lekanyelitsoe ho lisebelisoa tsa ho laola mekhoa ea video.
    • Ts'ehetso e ekelitsoeng bakeng sa protocol ea 3WIRE e sebelisoang liphanele tsa LCD. Tšehetso e ekelitsoeng bakeng sa liphanele tsa novatek nt36672a, TDO tl070wsh30, Innolux N125HCE-GN1 le ABT Y030XX067A 3.0. Ka thoko, re ka hlokomela ts'ehetso bakeng sa phanele ea li-smartphones tsa OnePlus 6 le 6T, tse entseng hore ho khonehe ho hlophisa ho jarolloa ha kernel e sa fetoloang lisebelisoa.
    • Ts'ehetso e ekelitsoeng bakeng sa molaoli oa moamoheli oa Intel oa pele oa USB4, Maple Ridge.
    • Tšehetso e ekelitsoeng bakeng sa Allwinner H6 I2S, Analog Devices ADAU1372, Intel Alderlake-S, GMediatek MT8192, NXP i.MX HDMI le XCVR, Realtek RT715 le Qualcomm SM8250 audio codecs.
    • Ts'ehetso e ekelitsoeng bakeng sa liboto tsa ARM, lisebelisoa le sethala: Galaxy Note 10.1, Microsoft Lumia 950 XL, NanoPi R1, FriendlyArm ZeroPi, Elimo Initium SBC, Broadcom BCM4908, Mediatek MT8192/MT6779/MT8167, MStar Infinity2M 730PC382M 98P3236 Arm ZeroPi, Elimo Initium SBC, Broadcom BCM750, Mediatek MT8/MT64/MT30, MStar InfinityXNUMXM XNUMXPCXNUMXM XNUMXPXNUMX Arm XNUMX, Arm XNUMX, Arm XNUMX, XNUMX Nuvo. Mikrotik e thehiloeng ho Marvell Prestera XNUMXDXXNUMX, li-server tse nang le Nuvoton NPCMXNUMX BMC, Kontron i.MXXNUMXM Mini, Espressobin Ultra, "Trogdor" Chromebook, Kobol HeliosXNUMX, Engicam PXXNUMX.Core.
    • Ts'ehetso e hahelletsoeng bakeng sa khomphutha ea lipapali ea Ouya e thehiloeng ho NVIDIA Tegra 3.

Ka nako e ts'oanang, Latin American Free Software Foundation e thehile mofuta oa 5.11 kernel ea mahala - Linux-libre 5.11-gnu, e hlakotsoeng ea likarolo tsa firmware le bakhanni ba nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, tseo boholo ba tsona bo lekantsoeng. ka moetsi. Tokollo e ncha e hloekisa bakhanni ba qat_4xxx (crypto), lt9611uxcm (dsi/hdmi borokho), ccs/smia++ (sensor), ath11k_pci, nxp audio transceiver le mhi pci controller. Khoutu e ntlafalitsoeng ea ho hloekisa blob ho bakhanni le li-subsystems amdgpu, btqca, btrtl, btsb, i915 csr. Li-blobs tse ncha tse holofetseng ho m3 rproc, idt82p33 ptp oache le qualcomm arm64.

Source: opennet.ru

Eketsa ka tlhaloso