Ho lokolloa ha kernel ea Linux 5.12

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux kernel 5.12. Har'a liphetoho tse hlokomelehang: ts'ehetso ea lisebelisoa tsa "zoned block" ho Btrfs, bokhoni ba ho etsa limmapa tsa li-ID tsa sistimi ea faele, ho hloekisa meralo ea khale ea ARM, mokhoa oa ho ngola o "chesehang" ho NFS, mochini oa LOOKUP_CACHED oa ho khetholla litsela tsa faele ho tsoa ho cache. , tšehetso bakeng sa litaelo tsa athomo ho BPF, mokhoa oa ho lokisa liphoso KFENCE bakeng sa ho khetholla liphoso ha u sebetsa ka mohopolo, mokhoa oa ho khetha oa NAPI o sebetsang ka khoele e arohaneng ea kernel ka har'a stack ea marang-rang, ACRN hypervisor, bokhoni ba ho fetola mohlala oa preempt ka fofa mosebetsing. scheduler le tšehetso bakeng sa optimizations LTO ha ho haha ​​Clang.

Phetolelo e ncha e kenyelletsa 14170 (tokisong e fetileng ea 15480) ho tsoa ho bahlahisi ba 1946 (1991), boholo ba patch ke 38 MB (liphetoho tse amang lifaele tsa 12102 (12090), 538599 (868025) mela ea khoutu e kenyellelitsoe, 333377 (261456) mela e hlakotsoe). Hoo e ka bang 43% ea liphetoho tsohle tse hlahisitsoeng ho 5.12 li amana le bakhanni ba lisebelisoa, hoo e ka bang 17% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 12% e amana le stack ea marang-rang, 5% e amana le tsamaiso ea lifaele, le 4% li amana le li-subsystem tsa kernel tse ka hare.

Litlhahiso tse ka sehloohong:

  • Disk Subsystem, I/O le File Systems
    • Bokhoni ba ho etsa 'mapa oa li-ID tsa basebelisi bakeng sa sistimi ea faele e kentsoeng e kentsoe tšebetsong (o ka etsa 'mapa oa lifaele tsa mosebelisi a le mong karohanong ea kantle ho naha le mosebelisi e mong tsamaisong ea hajoale). Ho etsa 'mapa ho tšehetsoa bakeng sa litsamaiso tsa faele tsa FAT, ext4 le XFS. Ts'ebetso e reriloeng e etsa hore ho be bonolo ho arolelana lifaele lipakeng tsa basebelisi ba fapaneng le likhomphutha tse fapaneng, ho kenyeletsoa 'mapa e tla sebelisoa ho systemd-homed portable home directory mechanism, e lumellang basebelisi ho tsamaisa libuka tsa bona tsa lehae ho media tsa kantle le ho li sebelisa likhomphutha tse fapaneng, ho etsa limmapa. li-ID tsa basebelisi tse sa lumellaneng. Kopo e 'ngoe e molemo ke ho hlophisa phano ea phihlello e arolelanoang ho lifaele ho tsoa ho moamoheli oa kantle, ntle le ho fetola data e mabapi le beng ba lifaele tsamaisong ea faele.
    • Li-patches tsa LOOKUP_CACHED li amohetsoe ka har'a kernel, e leng se lumellang lits'ebetso ho tseba tsela ea faele ho tloha sebakeng sa mosebelisi ntle le ho thibela, ho ipapisitse le data e fumanehang ka har'a cache. Mokhoa oa LOOKUP_CACHED o kentsoe mohala oa openat2() ka ho fetisa folakha ea RESOLVE_CACHED, eo ho eona data e fanoang feela ho tsoa ho cache, 'me haeba qeto ea tsela e hloka ho fihlella drive, phoso ea EAGAIN e khutlisoa.
    • Sistimi ea faele ea Btrfs e ekelitse ts'ehetso ea mantlha bakeng sa lisebelisoa tsa "zoned block" (lisebelisoa tse ho hard magnetic disks kapa NVMe SSDs, sebaka sa polokelo se arotsoeng ka libaka tse etsang lihlopha tsa li-blocks kapa likarolo, tseo ho tsona ho kenyelletsoa ka tatellano ea data ho lumelloang, ho nchafatsa sehlopha sohle sa li-block). Ka mokhoa oa ho bala feela, tšehetso ea li-block tse nang le metadata le data e nyane ho feta leqephe (subpage) e kengoa ts'ebetsong.
    • Sistimi ea faele ea F2FS, bokhoni ba ho khetha algorithm le boemo ba khatello bo kentsoe. Ts'ehetso e ekelitsoeng bakeng sa khatello ea maemo a holimo bakeng sa algorithm ea LZ4. E kentse khetho ea ho kenya checkpoint_merge.
    • Taelo e ncha ea ioctl FS_IOC_READ_VERITY_METADATA e kentsoe tšebetsong ho bala metadata ho tsoa lifaeleng tse sirelelitsoeng ka fs-verity.
    • Moreki oa NFS o sebelisa mokhoa oa ho ngola o "chesehelang" (o ngola = ho chesehela), ha o nolofalitsoe, ts'ebetso ea ho ngola faeleng e fetisetsoa ho seva hang-hang, ho feta cache ea leqephe. Mokhoa ona o u lumella ho fokotsa tšebeliso ea memori, e fana ka ho fumana hang-hang tlhahisoleseding mabapi le ho fela ha sebaka sa mahala tsamaisong ea faele, 'me maemong a mang e etsa hore ho khonehe ho finyella ts'ebetso e eketsehileng.
    • Likhetho tse ncha tsa mount li kenyellelitsoe ho CIFS (SMB): acregmax ho laola caching ea faele le acdirmax ho laola caching ea metadata ea directory.
    • Ho XFS, mokhoa oa ho hlahloba quota o nang le likhoele tse ngata o lumelletsoe, ts'ebetso ea fsync e potlakisitsoe, mme khoutu ea growfs e lokiselitsoe ho kenya tšebetsong mosebetsi oa ho fokotsa boholo ba sistimi ea faele.
  • Litšebeletso tsa memori le tsamaiso
    • Setsi se senyenyane sa DTMP (Dynamic Thermal Power Management) se kentsoe, se u lumella ho laola ka matla tšebeliso ea matla ea lisebelisoa tse fapaneng ho latela meeli e behiloeng ea mocheso o akaretsang.
    • Bokhoni ba ho aha kernel ho sebelisa motlatsi oa Clang ka kenyelletso ea lintlafatso sethaleng sa khokahano (LTO, Link Time Optimization) e kentsoe ts'ebetsong. Lintlafatso tsa LTO li fapana ka ho ela hloko boemo ba lifaele tsohle tse amehang ts'ebetsong ea ho aha, ha mekhoa ea khale ea optimization e ntlafatsa faele e 'ngoe le e' ngoe ka thoko 'me ha e nahane ka maemo a ho letsetsa lits'ebetso tse hlalositsoeng lifaeleng tse ling. Mohlala, ka LTO, ho tsamaisoa ha inline hoa khoneha bakeng sa lits'ebetso tse tsoang lifaeleng tse ling, khoutu e sa sebelisoeng ha e kenyellelitsoe faeleng e ka phethisoang, tlhahlobo ea mofuta le ntlafatso e akaretsang e etsoa maemong a projeke ka kakaretso. Ts'ehetso ea LTO hajoale e lekanyelitsoe ho meralo ea x86 le ARM64.
    • Hoa khoneha ho khetha mekhoa ea ho itšireletsa (PREEMPT) ho kemiso ea mosebetsi sethaleng sa boot (preempt = ha ho letho / ka boithaopo / ka botlalo) kapa ha u ntse u sebetsa ka li-debugfs (/debug/sched_debug), haeba PREEMPT_DYNAMIC boemo bo ne bo boletsoe ha ho etsoa kernel. Nakong e fetileng, mokhoa oa extrusion o ne o ka behoa feela boemong ba litekanyetso tsa kopano. Phetoho e lumella liphaello ho tsamaisa li-kernel tse nang le mokhoa oa PREEMPT o lumelletsoeng, o fanang ka latency e nyane bakeng sa li-desktops ka litšenyehelo tsa kotlo e nyane ea ho tsoa, ​​​​'me ha ho hlokahala, khutlela ho PREEMPT_VOLUNTARY (mokhoa o mahareng oa li-desktops) kapa PREEMPT_NONE (e fana ka boholo ba lisebelisoa bakeng sa li-server) .
    • Ts'ehetso ea tšebetso ea atomic BPF_ADD, BPF_AND, BPF_OR, BPF_XOR, BPF_XCHG le BPF_CMPXCHG e kentsoe tsamaisong e nyane ea BPF.
    • Mananeo a BPF a fuoa bokhoni ba ho fihlella data ho stack ho sebelisa lintlha tse nang le li-offsets tse fapaneng. Ka mohlala, haeba pele u ne u ka sebelisa index ea likarolo tse sa khaotseng feela ho fumana mefuta e mengata ea stack, joale u ka sebelisa e fetohang. Taolo ea phihlello feela ka har'a meeli e teng e etsoa ke mohlahlobi oa BPF. Ts'ebetso ena e fumaneha feela bakeng sa mananeo a khethehileng ka lebaka la matšoenyeho mabapi le ts'ebeliso ea bofokoli ba ts'ebetso ea khoutu.
    • E kenyellelitse bokhoni ba ho hokela mananeo a BPF ho li-tracepoints tse sa amaneng le liketsahalo tsa morao-rao tse hlahang sebakeng sa mosebelisi (polokelo ea ABI ha e netefatsoe bakeng sa lintlha tse joalo).
    • Ts'ehetso bakeng sa bese ea CXL 2.0 (Compute Express Link) e kentsoe ts'ebetsong, e sebelisetsoang ho hlophisa tšebelisano e potlakileng pakeng tsa CPU le lisebelisoa tsa memori (e u lumella ho sebelisa lisebelisoa tsa memori tse kantle e le karolo ea RAM kapa memori e sa feleng, joalo ka ha mohopolo ona. li ne li hokahantsoe ka molaoli o tloaelehileng oa memori ho CPU).
    • E kentse mokhanni oa nvmem ho fumana lintlha tse tsoang libakeng tsa memori tse bolokiloeng ke firmware tse sa fihlelleheng ka ho toba ho Linux (mohlala, memori ea EEPROM e fumanehang 'meleng feela ho firmware, kapa data e fumanehang feela nakong ea mohato oa pele oa boot).
    • Ts'ehetso ea "oprofile" ea "profile" system e tlositsoe, e neng e sa sebelisoe haholo 'me e nkeloe sebaka ke mochine oa sejoale-joale oa perf.
    • Io_uring asynchronous I/O interface e fana ka kopanyo le lihlopha tse laolang tšebeliso ea memori.
    • Moralo oa RISC-V o ts'ehetsa lits'ebetso tsa NUMA, hammoho le mekhoa ea kprobes le ea uprobes.
    • E kentse bokhoni ba ho sebelisa mohala oa tsamaiso ea kcmp() ho sa tsotelehe ts'ebetso ea li-snapshots tsa boemo ba ts'ebetso (checkpoint/restore).
    • EXPORT_UNUSED_SYMBOL() le EXPORT_SYMBOL_GPL_FUTURE() macros, tse seng li sa sebelisoe ka lilemo tse ngata, li tlositsoe.
  • Virtualization le Tšireletso
    • KFence (Kernel Electric Fence) e ekelitsoeng mochine oa ts'ireletso, o tšoarang liphoso ha o sebetsa ka mohopolo, joalo ka buffer overruns le phihlello kamora ho lokolla mohopolo. Ho fapana le mochine oa ho lokisa oa KASAN, tsamaiso e ka tlaase ea KFence e khetholloa ka lebelo le phahameng la ts'ebetso le holimo, e leng se u lumellang ho tšoara liphoso tsa mohopolo tse hlahang feela lits'ebetsong tsa ho sebetsa kapa nakong ea ts'ebetso ea nako e telele.
    • Tšehetso e ekelitsoeng bakeng sa hypervisor ea ACRN, e ngotsoeng ka leihlo la ho itokisetsa mesebetsi ea nako ea sebele le ho tšoaneleha bakeng sa ho sebelisoa lits'ebetsong tsa bohlokoa tsa mission. ACRN e fana ka chelete e fokolang, e tiisang latency e tlaase le karabelo e lekaneng ha e sebelisana le lisebelisoa. E ts'ehetsa virtualization ea lisebelisoa tsa CPU, I/O, subsystem ea marang-rang, lits'oants'o le ts'ebetso ea molumo. ACRN e ka sebelisoa ho tsamaisa metjhini e mengata e ikemetseng e ikemetseng litsing tsa taolo ea elektroniki, liphanele tsa lisebelisoa, lisebelisoa tsa tlhaiso-leseling ea likoloi, lisebelisoa tsa IoT tsa bareki le mahlale a mang a kentsoeng. ACRN e ts'ehetsa mefuta e 'meli ea litsamaiso tsa baeti - li-VM tse khethehileng tsa Tšebeletso, tse sebelisetsoang ho laola lisebelisoa tsa tsamaiso (CPU, memori, I/O, joalo-joalo), le li-VM tse tloaelehileng tsa Basebedisi, tse ka tsamaisang kabo ea Linux, Android le Windows.
    • Ho IMA (Integrity Measurement Architecture), e bolokang database ea hash bakeng sa ho hlahloba botšepehi ba lifaele le metadata e amanang le eona, joale hoa khoneha ho hlahloba botšepehi ba data ea kernel ka boeona, mohlala, ho latela liphetoho melaong ea SELinux. .
    • Bokhoni ba ho thibela li-hypercall tsa Xen le ho li fetisetsa ho emulator e sebetsang sebakeng sa mosebelisi e kentsoe ho hypervisor ea KVM.
    • E ekelitse bokhoni ba ho sebelisa Linux joalo ka tikoloho ea motso bakeng sa Hyper-V hypervisor. Tikoloho ea motso e na le phihlello e tobileng ho Hardware mme e sebelisoa ho tsamaisa sistimi ea baeti (e tšoanang le Dom0 ka Xen). Ho fihlela joale, Hyper-V (Microsoft Hypervisor) e ne e tšehetsa Linux feela libakeng tsa baeti, empa hypervisor ka boeona e ne e laoloa ho tloha tikolohong e thehiloeng Windows.
    • Ts'ehetso e kenyellelitsoeng bakeng sa encryption ea inline bakeng sa likarete tsa eMMC, e u lumellang hore u sebelise mekhoa ea encryption e hahelletsoeng ka har'a molaoli oa koloi o kentseng pepeneneng le ho hlakola I/O.
    • Tšehetso bakeng sa li-hashes tsa RIPE-MD 128/256/320 le Tiger 128/160/192, tse sa sebelisoeng motheong, hammoho le Salsa20 stream cipher, e ileng ea nkeloa sebaka ke ChaCha20 algorithm, e tlositsoe ho tsamaiso e nyenyane ea crypto. Algorithm ea blake2 e ntlafalitsoe ho kenya ts'ebetsong blake2s.
  • Sistimi e nyane ea marang-rang
    • E ekelitse bokhoni ba ho tsamaisa sesebelisoa sa likhetho sa NAPI bakeng sa lisebelisoa tsa marang-rang ho khoele e arohaneng ea kernel, e lumellang ts'ebetso e ntlafetseng bakeng sa mefuta e meng ea mosebetsi. Pejana, likhetho li ne li etsoa maemong a softirq 'me li ne li sa koaheloe ke mohlophisi oa mosebetsi, e leng se ileng sa etsa hore ho be thata ho etsa lintlafatso tse ntle ho fihlela ts'ebetso e phahameng. Ts'ebetso ka khoele e arohaneng ea kernel e lumella motho ea sebetsanang le likhetho hore a shejoe ho tloha sebakeng sa mosebelisi, a hoketsoe ho li-cores tsa CPU ka bomong, 'me a nahaneloe ha a hlophisa phetoho ea mosebetsi. Ho nolofalletsa mokhoa o mocha ho li-sysfs, ho hlahisoa /sys/class/net/ parameter /threaded.
    • Ho kopanngoa ka har'a motheo oa MPTCP (MultiPath TCP), katoloso ea protocol ea TCP bakeng sa ho hlophisa ts'ebetso ea khokahano ea TCP le ho fana ka lipakete ka nako e le 'ngoe litseleng tse' maloa ka marang-rang a fapaneng a marang-rang a amanang le liaterese tse fapaneng tsa IP. Phatlalatso e ncha e eketsa bokhoni ba ho beha pele ho likhoele tse itseng, tse lumellang, ka mohlala, ho hlophisa mosebetsi oa likhoele tsa "backup" tse bulelang feela haeba ho na le mathata ka khoele ea mantlha.
    • IGMPv3 e eketsa ts'ehetso bakeng sa mochine oa EHT (Explicit Host Tracking).
    • Enjene ea ho sefa ea pakete ea Netfilter e fana ka bokhoni ba ho ba le litafole tse itseng ho fumana taolo e khethehileng (mohlala, ts'ebetso ea morao-rao ea firewall e ka nka litafole tse itseng, ho thibela mang kapa mang ho li kena-kenana le tsona).
  • Lisebelisoa
    • Re hloekisitse lipolanete tsa ARM tse neng li sa sebetse le tse sa hlokomeloeng. Khoutu ea li-platform tsa efm32, picoxcell, prima2, tango, u300, zx le c6x, hammoho le bakhanni ba amanang le tsona, li tlositsoe.
    • Mokhanni oa amdgpu o fana ka bokhoni ba ho overclock (OverDrive) likarete tse thehiloeng ho Sienna Cichlid GPU (Navi 22, Radeon RX 6xxx). Ts'ehetso e ekelitsoeng bakeng sa sebopeho sa pixel sa FP16 bakeng sa DCE (enjine ea taolo ea ponts'o) ho tloha molokong oa 8 ho isa ho oa bo11. Bakeng sa GPU Navy Flounder (Navi 21) le APU Van Gogh, bokhoni ba ho seta GPU bo se bo kentsoe tšebetsong.
    • Mokhanni oa i915 bakeng sa likarete tsa litšoantšo tsa Intel o sebelisa parameter ea i915.mitigations ho tima mekhoa ea ho itšehla thajana le ts'ireletso molemong oa ntlafatso ea ts'ebetso. Bakeng sa lichifi tse qalang ho tloha Tiger Lake, ts'ehetso ea mochini oa VRR (Variable Rate Refresh) e kenyelelitsoe, e u lumellang hore u fetole sekhahla sa ho khatholla ho netefatsa ho boreleli ebile ha ho na likheo nakong ea lipapali. Tšehetso ea theknoloji ea Intel Clear Color e kenyelelitsoe bakeng sa ho nepahala ha mebala e ntlafetseng. Tšehetso e ekelitsoeng bakeng sa DP-HDMI 2.1. Bokhoni ba ho laola khanya e ka morao ea liphanele tsa eDP bo kentsoe tšebetsong. Bakeng sa li-GPU tsa Gen9 tse nang le tšehetso ea LSPCON (Level Shifter le Protocol Converter), tšehetso ea HDR e ea lumelloa.
    • Mokhanni oa nouveau o eketsa tšehetso ea pele bakeng sa NVIDIA GPUs e thehiloeng ho GA100 (Ampere) ea meralo.
    • Mokhanni oa msm o eketsa tšehetso bakeng sa Adreno 508, 509 le 512 GPUs tse sebelisoang ho SDM (Snapdragon) 630, 636 le 660 chips.
    • Tšehetso e ekelitsoeng bakeng sa likarete tsa molumo tsa Sound BlasterX AE-5 Plus, Lexicon I-ONIX FW810s le Pioneer DJM-750. Ts'ehetso e ekelitsoeng bakeng sa tsamaiso e tlase ea audio ea Intel Alder Lake PCH-P. Ts'ehetso bakeng sa papiso ea software ea ho hokela le ho hakolla sehokelo sa molumo e kentsoe tšebetsong bakeng sa ho lokisa liphoso sebakeng sa basebelisi.
    • Ts'ehetso e ekelitsoeng bakeng sa li-consoles tsa lipapali tsa Nintendo 64 tse entsoeng ho tloha 1996 ho isa 2003 (boiteko ba nakong e fetileng ba ho isa Linux ho Nintendo 64 ha boa ka ba phethoa mme ba khetholloa e le Vaporware). Sepheo sa ho theha kou e ncha bakeng sa sethala sa khale, se e-s'o lokolloe ka lilemo tse ka bang mashome a mabeli, ke takatso ea ho susumetsa nts'etsopele ea li-emulators le ho nolofatsa ho tsamaisoa ha lipapali.
    • Mokhanni o kenyellelitsoeng bakeng sa molaoli oa lipapali oa Sony PlayStation 5 DualSense.
    • Tšehetso e ekelitsoeng bakeng sa liboto tsa ARM, lisebelisoa le sethala: PineTab, Snapdragon 888 / SM8350, Snapdragon MTP, Two Beacon EmbeddedWorks, Intel eASIC N5X, Netgear R8000P, Plymovent M2M, Beacon i.MX8M Nano, NanoPi M4B.
    • Tšehetso e ekelitsoeng bakeng sa Purism Librem5 Evergreen, Xperia Z3+/Z4/Z5, ASUS Zenfone 2 Laser, BQ Aquaris X5, OnePlus6, OnePlus6T, Samsung GT-I9070 li-smartphones.
    • E kenyellelitsoe mokhanni oa bcm-vk bakeng sa liboto tsa accelerator tsa Broadcom VK (mohlala, liboto tsa Valkyrie le Viper PCIe), tse ka sebelisoang ho theola ts'ebetso ea molumo, video le litšoantšo, hammoho le ts'ebetso e amanang le encryption, sesebelisoa se arohaneng.
    • Ts'ehetso e ekelitsoeng bakeng sa sethala sa Lenovo IdeaPad se nang le bokhoni ba ho laola ho tjhaja khafetsa le ho khantša keyboard. Hape ho fanoe ka tšehetso bakeng sa boemo ba ACPI ba sethaleng sa ThinkPad se nang le bokhoni ba ho laola mekhoa ea tšebeliso ea matla. Mokhanni o kentsoeng oa Lenovo ThinkPad X1 Tablet Gen 2 HID subsystem.
    • E kenyellelitse mokhanni oa ov5647 ka tšehetso ea module ea khamera bakeng sa Raspberry Pi.
    • Tšehetso e ekelitsoeng bakeng sa liboto tsa RISC-V SoC FU740 le HiFive Unleashed. Mokhanni e mocha oa chip ea Kendryte K210 le eena o kentsoe.

Source: opennet.ru

Eketsa ka tlhaloso