Ho lokolloa ha kernel ea Linux 5.15

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux kernel 5.15. Liphetoho tse hlokomelehang li kenyelletsa: mokhanni o mocha oa NTFS ea nang le tšehetso ea ho ngola, module ea ksmbd e nang le ts'ebetsong ea seva sa SMB, tsamaiso ea DAMON bakeng sa ho lekola phihlello ea memori, li-primitives tsa ho notlela nako ea nnete, tšehetso ea fs-verity ho Btrfs, process_mrelease mohala oa sistimi bakeng sa mohopolo oa ho arabela tlala, module ea setifikeiti e hole. dm-ima.

Phetolelo e ncha e kenyelletsa litokiso tse 13499 tse tsoang ho bahlahisi ba 1888, boholo ba patch ke 42 MB (liphetoho li ile tsa ama lifaele tsa 10895, mela ea khoutu ea 632522 e kentsoe, mela ea 299966 e hlakotsoe). Hoo e ka bang 45% ea liphetoho tsohle tse hlahisitsoeng ho 5.15 li amana le bakhanni ba lisebelisoa, hoo e ka bang 14% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 14% e amana le stack ea marang-rang, 6% e amana le tsamaiso ea lifaele, le 3% li amana le li-subsystem tsa kernel tse ka hare.

Litlhahiso tse ka sehloohong:

  • Disk Subsystem, I/O le File Systems
    • Kernel e amohetse ts'ebetsong e ncha ea sistimi ea faele ea NTFS, e butsoeng ke Paragon Software. Mokhanni e mocha a ka sebetsa ka mokhoa oa ho ngola mme a tšehetsa likarolo tsohle tsa mofuta oa morao-rao oa NTFS 3.1, ho kenyelletsa le litšobotsi tse atolositsoeng tsa faele, manane a phihlello (ACLs), mokhoa oa compression oa data, mosebetsi o sebetsang o nang le libaka tse se nang letho lifaeleng (sparse) le ho fetola liphetoho ho tsoa ho. log ho tsosolosa botšepehi ka mor'a ho hlōleha .
    • Sistimi ea faele ea Btrfs e ts'ehetsa mochini oa fs-verity, o sebelisetsoang ho laola ka mokhoa o pepeneneng botšepehi le bonnete ba lifaele ka bomong ho sebelisa li-cryptographic hashes kapa linotlolo tse amanang le lifaele, tse bolokiloeng sebakeng sa metadata. Pele, fs-verity e ne e fumaneha feela bakeng sa lits'ebetso tsa lifaele tsa Ext4 le F2fs.

      Btrfs e boetse e eketsa tšehetso bakeng sa ho etsa 'mapa oa li-ID tsa basebelisi bakeng sa litsamaiso tsa faele tse kentsoeng (tse neng li tšehelitsoe pele bakeng sa litsamaiso tsa faele tsa FAT, ext4 le XFS). Karolo ena e u lumella ho bapisa lifaele tsa mosebelisi ea itseng sebakeng sa kantle ho naha se kentsoeng le mosebelisi e mong tsamaisong ea hajoale.

      Liphetoho tse ling ho Btrfs li kenyelletsa: ho eketsoa ka potlako ha linotlolo ho index ea directory ho ntlafatsa ts'ebetso ea tlhahiso ea lifaele; bokhoni ba ho sebetsa raid0 ka sesebelisoa se le seng, le raid10 ka tse peli (mohlala, nakong ea ts'ebetso ea ho hlophisa bocha sehlopha); kgetho “pholoso=ibadroots” ho iphapanyetsa sefate sa tekanyo e fosahetseng; ho potlakisa ts'ebetso ea "romela"; phokotso ea likhohlano tsa ho notlela nakong ea ts'ebetso ea ho reha lebitso; bokhoni ba ho sebelisa likarolo tsa 4K lits'ebetsong tse nang le boholo ba leqephe la memori ea 64K.

    • Ho XFS, bokhoni ba ho sebelisa matsatsi ka mor'a 2038 tsamaisong ea lifaele bo se bo tsitsitse. E kentse ts'ebetso ea ho lieha ho koala li-inode le ts'ehetso bakeng sa ho lieha ho kenya le ho tlosoa ha litšobotsi tsa faele. Ho felisa mathata, bokhoni ba ho tima li-quota tsa disk bakeng sa li-partitions tse seng li ntse li kentsoe li tlositsoe (o ka thibela li-quotas ka matla, empa lipalo tse amanang le tsona li tla tsoela pele, kahoo ho hlokahala hore u li koale ka botlalo).
    • Ho EXT4, ho entsoe mosebetsi oa ho eketsa ts'ebetso ea ho ngola li-buffers tsa delalloc le ho sebetsana le lifaele tsa likhutsana tse ntseng li tsoela pele ho ba teng ka lebaka la hore li lula li bulehile, empa ha li amane le bukana. Ts'ebetso ea ho lahla e tlositsoe ho jbd2 kthread thread ho qoba ho thibela ts'ebetso ka metadata.
    • F2FS e kentse khetho ea "discard_unit=block|segment|section" ho tlama mesebetsi ea ho lahla (ho tšoaea li-blocks tse lokolotsoeng tseo e kanna eaba ha li sa bolokoa ka 'mele) ho lokisoa ho amanang le boloko, lekala, karolo kapa karolo. Tšehetso e ekelitsoeng bakeng sa ho latela liphetoho ho I/O latency.
    • Sistimi ea faele ea EROFS (Extendable Read-Only File System) e eketsa tšehetso e tobileng ea I/O bakeng sa lifaele tse bolokiloeng ntle le ho hatella, hammoho le tšehetso ea fiemap.
    • OverlayFS e sebelisa ts'ebetso e nepahetseng ea lifolakha "tse sa fetoheng", "append-feela", "sync" le "noatime" lifolakha.
    • NFS e ntlafalitse ho sebetsana le maemo moo seva sa NFS se emisang ho araba likopo. E kentse bokhoni ba ho nyoloha ho tsoa ho seva e seng e ntse e sebelisoa, empa e fumaneha ka aterese e fapaneng ea marang-rang.
    • Litokisetso li se li qalile bakeng sa ho ngola bocha sistimi ea FSCACHE.
    • Ts'ehetso e ekelitsoeng bakeng sa li-partitions tsa EFI ka ho beoa ho sa tloaelehang ha litafole tsa GPT.
    • Moetso oa fanotify o sebelisa folakha e ncha, FAN_REPORT_PIDFD, e leng se etsang hore pidfd e kenyeletsoe boitsebisong bo khutlisetsoang. Pidfd e thusa ho sebetsana le maemo a ts'ebeliso ea PID hape ho tseba ka nepo lits'ebetso tsa ho fihlella lifaele tse shebiloeng (pidfd e amahanngoa le ts'ebetso e itseng 'me ha e fetohe, athe PID e ka amahanngoa le ts'ebetso e' ngoe kamora ts'ebetso ea hajoale e amanang le PID eo e felile).
    • E ekelitse bokhoni ba ho eketsa lintlha ho lihlopha tse teng tse arolelanoang ho move_mount () mohala oa tsamaiso, o rarollang mathata ka ho boloka le ho tsosolosa boemo ba ts'ebetso ho CRIU ha ho na le libaka tse ngata tsa lithaba tse arolelanoang lijaneng tse ka thōko.
    • Tšireletso e ekelitsoeng khahlanong le maemo a patiloeng a morabe a ka bakang bobolu ba faele ha o bala cache ha o ntse o sebetsana le voids faeleng.
    • Ts'ehetso ea ho notlela faele e tlamang (e tlamang), e sebelisoang ka ho thibela mehala ea sistimi e lebisang phetohong ea faele, e khaotsoe. Ka lebaka la maemo a ka 'nang a hlaha a morabe, liloko tsena li ne li nkoa li sa tšepahale 'me li ile tsa tlosoa lilemo tse ngata tse fetileng.
    • Sesebelisoa se tlase sa LightNVM se tlositsoe, se lumelletseng phihlello e tobileng ho drive ea SSD, ho feta lera la emulation. LightNVM e ile ea lahleheloa ke moelelo oa eona ka mor'a ho fihla ha litekanyetso tsa NVMe tse fanang ka libaka (ZNS, Zoned Namespace).
  • Litšebeletso tsa memori le tsamaiso
    • Setsi sa DAMON (Data Access MONitor) se kentsoe ts'ebetsong, se u lumellang hore u shebe ts'ebetso e amanang le ho fihlella data ho RAM mabapi le ts'ebetso e khethiloeng e sebetsang sebakeng sa mosebelisi. Subsystem e u lumella ho sekaseka hore na ke libaka life tsa memori tseo ts'ebetso e fihletsoeng nakong ea ts'ebetso eohle ea eona, le hore na ke libaka life tsa memori tse ileng tsa sala li sa tsejoe. DAMON e na le moroalo o tlase oa CPU, tšebeliso e tlase ea memori, ho nepahala ho phahameng le ho lebelloa ho sa feleng, ntle le boholo. Subsystem e ka sebelisoa ka bobeli ke kernel ho ntlafatsa taolo ea memori, le ka lits'ebeletso sebakeng sa mosebelisi ho utloisisa hore na ts'ebetso e ntse e etsa eng le ho ntlafatsa ts'ebeliso ea memori, mohlala, ho lokolla mohopolo o feteletseng bakeng sa sistimi.
    • The process_mrelease call call e kentsoe ts'ebetsong ho potlakisa ts'ebetso ea ho lokolla mohopolo oa ts'ebetso e phethelang ts'ebetso ea eona. Tlas'a maemo a tloaelehileng, ho lokolloa ha lisebelisoa le ho felisoa ha ts'ebetso ha se hang-hang 'me ho ka lieha ka mabaka a fapaneng, ho kena-kenana le mekhoa ea karabelo ea pele ea memori ea sebaka sa mosebedisi joalo ka oomd (e fanoeng ke systemd) le lmkd (e sebelisoang ke Android). Ka ho letsetsa process_mrelease, litsamaiso tse joalo li ka etsa hore motho a boele a khutlisetsoe mohopolo ho tsoa lits'ebetsong tse qobelloang.
    • Ho tsoa lekaleng la kernel la PREEMPT_RT, le hlahisang ts'ehetso bakeng sa ts'ebetso ea nako ea sebele, mefuta e fapaneng ea li-primitives bakeng sa ho hlophisa locks mutex, ww_mutex, rw_semaphore, spinlock le rwlock, e thehiloeng ho subsystem ea RT-Mutex, e fetisitsoe. Liphetoho li kenyellelitsoe ho SLUB slab allocator ho ntlafatsa ts'ebetso ka mokhoa oa PREEMPT_RT le ho fokotsa tšusumetso ea litšitiso.
    • Tšehetso bakeng sa tšobotsi ea SCHED_IDLE ea kemiso ea mosebetsi e kenyelelitsoe ho sehlopha, e u lumellang ho fana ka tšobotsi ena lits'ebetsong tsohle tsa sehlopha se kenyellelitsoeng sehlopheng se itseng. Tseo. lits'ebetso tsena li tla sebetsa feela ha ho se na mesebetsi e meng e emetseng ho phethoa sistimi. Ho fapana le ho beha tšobotsi ea SCHED_IDLE ts'ebetsong ka 'ngoe, ha ho tlama SCHED_IDLE ho sehlopha, boima bo lekanang ba mesebetsi ka har'a sehlopha bo eloa hloko ha ho khethoa mosebetsi oo o tla o etsa.
    • Mochini oa ho ikarabella bakeng sa tšebeliso ea memori ka har'a sehlopha o ekelitsoe ka bokhoni ba ho lekola meaho e meng ea data ea kernel, ho kenyeletsoa le e etselitsoeng likhetho, ts'ebetso ea matšoao le libaka tsa mabitso.
    • Tšehetso e ekelitsoeng bakeng sa kemiso ea asymmetric ea mosebetsi o tlamang ho li-processor cores mabapi le meralo eo ho eona li-CPU tse ling li lumellang ho etsoa ha mesebetsi ea 32-bit, 'me tse ling li sebetsa feela ka mokhoa oa 64-bit (mohlala, ARM). Mokhoa o mocha o u lumella ho nahana feela ka li-CPU tse tšehetsang mesebetsi ea 32-bit ha u hlophisa mesebetsi ea 32-bit.
    • Io_uring asynchronous I/O interface hona joale e tšehetsa ho bula lifaele ka ho toba tafoleng ea index e tsitsitseng, ntle le ho sebelisa tlhaloso ea faele, e leng se etsang hore ho khonehe ho potlakisa mefuta e meng ea ts'ebetso, empa e khahlanong le mokhoa oa setso oa Unix oa ho sebelisa litlhaloso tsa lifaele. ho bula lifaele.

      io_uring for the BIO (Block I/O Layer) subsystem e sebelisa mokhoa o mocha oa ho sebelisa hape ("BIO recycling"), e fokotsang ts'ebetso ea ho laola mohopolo oa kahare le ho eketsa palo ea ts'ebetso ea I/O motsotsoana ka hoo e ka bang 10% . io_uring e boetse e eketsa tšehetso bakeng sa mehala ea mkdirat(), symlinkat() le linkat() system.

    • Bakeng sa mananeo a BPF, bokhoni ba ho kopa le ho sebetsana le liketsahalo tsa nako bo kentsoe tšebetsong. Ho kenyellelitsoe iterator bakeng sa li-sockets tsa UNIX, 'me bokhoni ba ho fumana le ho seta likhetho tsa setsockopt bo kentsoe tšebetsong. BTF dumper hona joale e tšehetsa data e thaepileng.
    • Ho litsamaiso tsa NUMA tse nang le mefuta e fapaneng ea memori e fapaneng ka ts'ebetso, ha sebaka sa mahala se felile, maqephe a memori a lelekiloeng a fetisoa ho tloha mohopolong o matla (DRAM) ho ea mohopolong o sa feleng o liehang (Memori e tsitsitseng) ho fapana le ho hlakola maqephe ana. Liteko li bontšitse hore maqheka a joalo hangata a ntlafatsa ts'ebetso ho litsamaiso tse joalo. NUMA e boetse e fana ka bokhoni ba ho abela maqephe a memori bakeng sa ts'ebetso ho tsoa sehlopheng se khethiloeng sa li-node tsa NUMA.
    • Bakeng sa meralo ea ARC, ts'ehetso ea litafole tsa maqephe a memori ea mekhahlelo e meraro le e mene e kentsoe ts'ebetsong, e tla thusa ho ts'ehetsa li-processor tsa 64-bit ARC.
    • Bakeng sa meralo ea s390, bokhoni ba ho sebelisa mochine oa KFENCE ho lemoha liphoso ha ho sebetsa ka mohopolo bo kentsoe ts'ebetsong, 'me tšehetso ea mochine oa boemo ba lebelo la KCSAN e kentsoe.
    • Ts'ehetso e ekelitsoeng bakeng sa ho supa lenane la melaetsa e hlahisoang ka printk(), e u lumellang ho fumana melaetsa eohle e joalo hang-hang le ho latela liphetoho sebakeng sa mosebelisi.
    • mmap() e tlositse tšehetso bakeng sa khetho ea VM_DENYWRITE, 'me kernel code e tlositsoe ho sebelisoa mokhoa oa MAP_DENYWRITE, o fokolitseng palo ea maemo a lebisang ho thibelang ho ngola faeleng ka phoso ea ETXTBSY.
    • Mofuta o mocha oa licheke, "Event probes," o kenyellelitsoe tsamaisong e ka tlase ea morao-rao, e ka hokeloang liketsahalong tse teng tsa morao-rao, e hlalosang sebopeho sa hau sa tlhahiso.
    • Ha u haha ​​​​kernel u sebelisa moqapi oa Clang, sephutheloana sa kamehla sa morero oa LLVM se se se sebelisoa.
    • E le karolo ea morero oa ho tlosa kernel ea khoutu e lebisang ho litemoso tse hlahisoang ke moqapi, teko e ile ea etsoa ka mokhoa oa "-Werror" o nolofalitsoeng ke kamehla, moo litemoso tsa moqapi li sebetsoang e le liphoso. Ha a lokisetsa tokollo ea 5.15, Linus o ile a qala ho amohela liphetoho feela tse sa kang tsa etsa hore ho be le litemoso ha ho haha ​​kernel le ho nolofaletsa mohaho ka "-Werror", empa a lumela hore qeto e joalo e ne e le pele ho nako 'me e lieha ho nolofalletsa "-Werror" ka ho feletseng. . Ho kenyelletsoa ha folakha ea "-Werror" nakong ea kopano ho laoloa ho sebelisoa parameter ea WERROR, e behiloeng ho COMPILE_TEST ka ho sa feleng, ke hore. Hajoale e lumelletsoe ho etsa liteko feela.
  • Virtualization le Tšireletso
    • Sesebelisoa se secha sa dm-ima se kentsoe ho Device Mapper (DM) ka ts'ebetsong ea mochini oa setifikeiti o hole o ipapisitseng le IMA (Integrity Measurement Architecture) subsystem, e lumellang ts'ebeletso ea kantle ho netefatsa boemo ba li-kernel subsystems ho netefatsa bonnete ba tsona. . Ha e le hantle, dm-ima e u lumella hore u thehe li-storages u sebelisa Device Mapper tse hokahaneng le litsamaiso tsa leru tse kantle, moo bonnete ba tlhophiso ea sepheo sa DM e qalisoang e hlahlojoa ho sebelisoa IMA.
    • prctl() e sebelisa khetho e ncha PR_SPEC_L1D_FLUSH, eo ha e nolofalitsoe, e etsang hore kernel e hlatsoe litaba tsa cache ea boemo ba pele (L1D) nako le nako ha phetoho ea moelelo e etsahala. Mokhoa ona o lumella, ka mokhoa o ikhethileng bakeng sa lits'ebetso tsa bohlokoa ka ho fetisisa, ho kenya ts'ireletso e eketsehileng khahlanong le ts'ebeliso ea litlhaselo tsa li-channel tse entsoeng ho fumana lintlha tse lutseng ka har'a cache ka lebaka la bofokoli bo bakoang ke ts'ebetso e inahaneloang ea litaelo ho CPU. Litsenyehelo tsa ho nolofalletsa PR_SPEC_L1D_FLUSH (ha e sebelisoe ka mokhoa oa kamehla) ke kotlo e kholo ea ts'ebetso.
    • Hoa khoneha ho haha ​​​​kernel ka ho eketsoa ha "-fzero-call-used-regs=used-gpr" folakha ho GCC, e leng se tiisang hore litlaleho tsohle li khutlisetsoa ho zero pele li khutlisetsa taolo ho tloha mosebetsing. Khetho ena e u lumella ho itšireletsa khahlanong le ho tsoa ha tlhahisoleseling ho tsoa mesebetsing le ho fokotsa ka 20% palo ea li-blocks tse loketseng ho haha ​​​​lisebelisoa tsa ROP (Return-Oriented Programming).
    • Bokhoni ba ho aha lithollo tsa meralo ea ARM64 ka sebopeho sa bareki ba Hyper-V hypervisor bo kentsoe tšebetsong.
    • Ho hlahisoa moralo o mocha oa nts'etsopele ea mokhanni "VDUSE", e lumellang ho kenya ts'ebetsong lisebelisoa tsa "block block" sebakeng sa basebelisi le ho sebelisa Virtio e le sepalangoang sa ho fihlella ho tsoa lits'ebetsong tsa baeti.
    • E kentse mokhanni oa Virtio bakeng sa bese ea I2C, e nolofalletsang ho etsisa balaoli ba I2C ka mokhoa oa paravirtualization u sebelisa li-backend tse arohaneng.
    • E kentse mokhanni oa Virtio gpio-virtio ho lumella baeti ho fihlella lithapo tsa GPIO tse fanoeng ke sistimi e amohelang baeti.
    • E ekelitse bokhoni ba ho thibela phihlello ea maqephe a memori bakeng sa bakhanni ba lisebelisoa ba nang le tšehetso ea DMA lits'ebetsong ntle le I/O MMU (yuniti ea taolo ea memori).
    • Hypervisor ea KVM e na le bokhoni ba ho bonts'a lipalo-palo ka mokhoa oa linear le logarithmic histograms.
  • Sistimi e nyane ea marang-rang
    • Mojule oa ksmbd o kentsoe kernel ka ts'ebetsong ea seva ea faele e sebelisang protocol ea SMB3. Mojule o tlatselletsa ts'ebetsong ea bareki ba SMB e neng e fumaneha pele kernel mme, ho fapana le seva sa SMB se sebetsang sebakeng sa mosebelisi, se sebetsa hantle haholoanyane mabapi le ts'ebetso, ts'ebeliso ea mohopolo le kopanyo le bokhoni bo tsoetseng pele ba kernel. Ksmbd e hlahisoa e le katoloso ea Samba e sebetsang hantle, e kenelletseng, e kopantsoeng le lisebelisoa tsa Samba le lilaeborari ha ho hlokahala. Bokhoni ba ksmbd bo kenyelletsa ts'ehetso e ntlafalitsoeng bakeng sa thekenoloji ea "caching" ea "file" (SMB leases) lits'ebetsong tsa lehae, tse ka fokotsang sephethephethe haholo. Nakong e tlang, ba rera ho eketsa tšehetso bakeng sa RDMA ("smbdirect") le li-extensions tsa protocol tse amanang le ho eketsa ts'epo ea ho kenyelletsa le ho netefatsa ho sebelisa li-signature tsa dijithale.
    • Moreki oa CIFS ha a sa tšehetsa NTLM le li-algorithms tse fokolang tse thehiloeng ho DES tse sebelisoang ho protocol ea SMB1.
    • Tšehetso ea Multicast e kenngoa ts'ebetsong ea marokho a marang-rang bakeng sa li-vlan.
    • Mokhanni oa tlamahano, ea sebelisetsoang ho kopanya marang-rang a marang-rang, o ekelitse tšehetso bakeng sa tsamaiso e nyenyane ea XDP (eXpress Data Path), e leng se u lumellang hore u sebelise lipakete tsa marang-rang sethaleng pele li sebetsoa ke marang-rang a Linux kernel.
    • The mac80211 wireless stack e tšehetsa 6GHZ STA (Special Temporary Authorization) ka mekhoa ea LPI, SP le VLP, hammoho le bokhoni ba ho beha TWT (Target Wake Time) ka mokhoa oa ho fihlella.
    • Tšehetso e ekelitsoeng bakeng sa MCTP (Management Component Transport Protocol), e sebelisetsoang ho sebelisana pakeng tsa balaoli ba tsamaiso le lisebelisoa tse amanang le tsona (li-host processors, peripheral devices, joalo-joalo).
    • Ho kopanngoa ka har'a motheo oa MPTCP (MultiPath TCP), katoloso ea protocol ea TCP bakeng sa ho hlophisa ts'ebetso ea khokahano ea TCP le ho fana ka lipakete ka nako e le 'ngoe litseleng tse' maloa ka marang-rang a fapaneng a marang-rang a amanang le liaterese tse fapaneng tsa IP. Phatlalatso e ncha e eketsa tšehetso bakeng sa liaterese ka mokhoa oa fullmesh.
    • Lisebelisoa tsa melapo ea marang-rang tse kentsoeng ho protocol ea SRv6 (Segment Routing IPv6) li kentsoe ho netfilter.
    • E kenyellelitse tšehetso ea sockmap bakeng sa li-sockets tsa Unix.
  • Lisebelisoa
    • Mokhanni oa amdgpu o tšehetsa Cyan Skillfish APUs (e nang le Navi 1x GPUs). Yellow Carp APU e se e tšehetsa li-codec tsa video. Tšehetso e ntlafalitsoeng ea Aldebaran GPU. E kentse li-identifiers tse ncha tsa 'mapa tse thehiloeng ho GPU Navi 24 "Beige Goby" le RDNA2. Ho hlahisoa ts'ebetsong e ntlafalitsoeng ea li-virtual screens (VKMS). Ts'ehetso ea ho lekola mocheso oa li-chips tsa AMD Zen 3 e kentsoe tšebetsong.
    • Mokhanni oa amdkfd (bakeng sa li-GPU tsa discrete, joalo ka Polaris) o sebelisa molaoli oa memori o arolelanoang (SVM, memori e arolelanoang) e thehiloeng ho sistimi e tlase ea HMM (Heterogeneous memory management), e lumellang ts'ebeliso ea lisebelisoa tse nang le likarolo tsa bona tsa taolo ea memori (MMU). , yuniti ya taolo ya memori), e ka fihlellang memori e kgolo. Haholo-holo, u sebelisa HMM, u ka hlophisa sebaka sa aterese se arolelanoang pakeng tsa GPU le CPU, moo GPU e ka fihlelang mohopolo o ka sehloohong oa ts'ebetso.
    • Mokhanni oa i915 bakeng sa likarete tsa video tsa Intel o atolosa tšebeliso ea mookameli oa memori ea video ea TTM mme o kenyelletsa bokhoni ba ho laola tšebeliso ea matla e thehiloeng ho GuC (Graphics micro Controller). Litokisetso li se li qalile bakeng sa ts'ebetsong ea tšehetso bakeng sa karete ea litšoantšo ea Intel ARC Alchemist le Intel Xe-HP GPU.
    • Mokhanni oa nouveau o sebelisa taolo ea mabone a morao bakeng sa liphanele tsa eDP a sebelisa DPCD (DisplayPort Configuration Data).
    • Tšehetso e ekelitsoeng bakeng sa Adreno 7c Gen 3 le Adreno 680 GPUs ho mokhanni oa msm.
    • Mokhanni oa IOMMU o kengoa tšebetsong bakeng sa chip ea Apple M1.
    • E kenyellelitse mokhanni oa molumo bakeng sa litsamaiso tse thehiloeng ho AMD Van Gogh APUs.
    • Mokhanni oa Realtek R8188EU o kentsoe lekaleng la sethala, le nkileng sebaka sa mofuta oa khale oa mokhanni (rtl8188eu) bakeng sa li-chips tsa Realtek RTL8188EU 802.11 b/g/n tse se nang mohala.
    • Mokhanni oa ocp_pt o kenyellelitsoe boto ea PCIe e ntlafalitsoeng ke Meta (Facebook) ka ts'ebetsong ea oache e nyane ea athomo le moamoheli oa GNSS, e ka sebelisetsoang ho hlophisa ts'ebetso ea li-server tse fapaneng tsa khokahano ea nako.
    • Tšehetso e ekelitsoeng bakeng sa Sony Xperia 10II (Snapdragon 665), Xiaomi Redmi 2 (Snapdragon MSM8916), Samsung Galaxy S3 (Snapdragon MSM8226), Samsung Gavini/Codina/Kyle li-smartphones.
    • Tšehetso e ekelitsoeng bakeng sa ARM SoС le NVIDIA Jetson TX2 NX Developer Kit, Sancloud BBE Lite, PicoITX, DRC02, SolidRun SolidSense, SKOV i.MX6, Nitrogen8, Traverse Ten64, GW7902, Microchip SAMA7, ualcomm SDM636 RSMne-Snapdragon SDM8150, Snapdragon SDM3/SM2 mapolanka -3G/M2e-913G, Marvell CN2600x, ASpeed ​​​​AST4 (Facebook Cloudripper, Elbert le Fuji mapolanka seva), 418KOpen STiH2264-bXNUMX.
    • Ts'ehetso e ekelitsoeng bakeng sa liphanele tsa Gopher 2b LCD, EDT ETM0350G0DH6/ETMV570G2DHU, LOGIC Technologies LTTD800480070-L6WH-RT, Multi-Innotechnology MI1010AIT-1CP1, Innolux EJ030K3.0K9341K3300K33K20K7430K2401KXNUMXKXNUMXNA KXNUMXCA, Innolux EJXNUMXBXNUMXKXNUMXKXNUMXKXNUMXKXNUMXKXNUMXCAXNUMXBXNUMXKXNUMXKXNUMXNA , Samsung ATNAXNUMXXCXNUMX XNUMX, Samsung DBXNUMX, WideChips WSXNUMX .
    • Mokhanni oa LiteETH o kenyellelitsoe ka tšehetso bakeng sa balaoli ba Ethernet ba sebelisoang ho LiteX software SoCs (bakeng sa FPGAs).
    • Khetho ea lowlatency e kenyelelitsoe ho mokhanni oa usb-audio ho laola ho kenngoa ha ts'ebetso ka mokhoa o fokolang oa latency. E boetse e kentse khetho ea quirk_flags ho fetisa litlhophiso tse ikhethileng tsa sesebelisoa.

Ka nako e ts'oanang, Latin American Free Software Foundation e thehile mofuta oa kernel ea mahala 5.15 - Linux-libre 5.15-gnu, e hlakotsoeng ea likarolo tsa firmware le bakhanni ba nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, tseo boholo ba tsona bo lekantsoeng. ka moetsi. Phatlalatso e ncha e sebelisa tlhahiso ea molaetsa ho log mabapi le ho phethoa ha ho hloekisa. Mathata a ho hlahisa liphutheloana tse sebelisang mkspec a lokisitsoe, tšehetso ea liphutheloana tsa snap e ntlafalitsoe. E tlositse litemoso tse hlahang ha ho sebetsoa faele ea hlooho ea firmware.h. E lumelletsoe tlhahiso ea mefuta e meng ea litemoso ("format-extra-args", maikutlo, mesebetsi e sa sebelisoeng le mefuta e fapaneng) ha u haha ​​ka mokhoa oa "-Werror". E kenyellelitsoe ho hloekisa mokhanni oa gehc-achc. Khoutu e ntlafalitsoeng ea ho hloekisa blob ho bakhanni le li-subsystems adreno, btusb, btintel, brcmfmac, aarch64 qcom. Ho hloekisoa ha bakhanni prism54 (e tlositsoeng) le rtl8188eu (e nkeloe sebaka ke r8188eu) e emisitsoe.

Source: opennet.ru

Eketsa ka tlhaloso