Ho lokolloa ha kernel ea Linux 5.17

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux kernel 5.17. Har'a liphetoho tse hlokomelehang ka ho fetesisa: sistimi e ncha ea taolo ea ts'ebetso bakeng sa li-processor tsa AMD, bokhoni ba ho etsa 'mapa oa li-ID tsa basebelisi lits'ebetsong tsa lifaele, ts'ehetso bakeng sa mananeo a hlophisitsoeng a BPF, phetoho ea jenereithara ea linomoro tsa pseudo-random ho algorithm ea BLAKE2s, sesebelisoa sa RTLA. bakeng sa tlhahlobo ea ts'ebetso ea nako ea nnete, fscache backend e ncha ea caching network file system, bokhoni ba ho hokela mabitso lits'ebetsong tse sa tsejoeng tsa mmap.

Phetolelo e ncha e kenyelletsa litokiso tse 14203 tse tsoang ho bahlahisi ba 1995, boholo ba patch ke 37 MB (liphetoho li ile tsa ama lifaele tsa 11366, mela ea khoutu ea 506043 e kentsoe, mela ea 250954 e hlakotsoe). Hoo e ka bang 44% ea liphetoho tsohle tse hlahisitsoeng ho 5.17 li amana le bakhanni ba lisebelisoa, hoo e ka bang 16% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 15% e amana le stack ea marang-rang, 4% e amana le mekhoa ea lifaele, le 4% li amana le li-subsystem tsa kernel tse ka hare.

Mekhoa e mecha ea bohlokoa ho kernel 5.17:

  • Disk Subsystem, I/O le File Systems
    • E kentse tšebetsong monyetla oa ho etsa 'mapa oa li-ID tsa basebelisi ba sistimi ea faele e kentsoeng, e sebelisetsoang ho bapisa lifaele tsa mosebelisi ea itseng sebakeng sa kantle ho naha le mosebelisi e mong tsamaisong ea hajoale. Karolo e ekelitsoeng e u lumella ho sebelisa 'mapa khafetsa holim'a litsamaiso tsa lifaele tseo 'mapa o seng o ntse o sebelisoa.
    • Setsi sa fscache, se sebelisetsoang ho hlophisa caching tsamaisong ea faele ea lehae ea data e fetisitsoeng ka litsamaiso tsa lifaele tsa marang-rang, e ngotsoe bocha ka botlalo. Ts'ebetsong e ncha e khetholloa ka ho nolofatsa ho hoholo ha khoutu le ho nkela sebaka sa ts'ebetso e rarahaneng ea ho rera le ho latela lintlha ka mekhoa e bonolo. Tšehetso bakeng sa fscache e ncha e kenngoa tsamaisong ea faele ea CIFS.
    • Tsamaiso e nyane ea ho latela ketsahalo ho fanotify FS e sebelisa mofuta o mocha oa ketsahalo, FAN_RENAME, e u lumellang ho thibela hang-hang ts'ebetso ea ho fetola lifaele kapa li-directory (pele, liketsahalo tse peli tse arohaneng FAN_MOVED_FROM le FAN_MOVED_TO li ne li sebelisetsoa ho fetola mabitso).
    • Sistimi ea faele ea Btrfs e ntlafalitse ts'ebetso ea ho rema lifate le fsync bakeng sa li-directory tse kholo, e kentsoeng ts'ebetsong ka ho kopitsa linotlolo tsa index feela le ho fokotsa palo ea metadata e kentsoeng. Ho fanoe ka tšehetso ea indexing le ho batla ka boholo ba lirekoto tsa sebaka sa mahala, e fokolitseng latency ka hoo e ka bang 30% le ho fokotsa nako ea ho batla. E lumelletsoe ho sitisa ts'ebetso ea defragmentation. Bokhoni ba ho eketsa lisebelisoa ha ho leka-lekana pakeng tsa li-drive ho holofetse, i.e. ha o kenya sistimi ea faele ka khetho ea skip_balance.
    • Ho hlahisitsoe syntax e ncha ea ho kenya sistimi ea faele ea Ceph, ho rarolla mathata a teng a amanang le ho tlama liaterese tsa IP. Ntle le liaterese tsa IP, joale u ka sebelisa sekhetho sa cluster (FSID) ho khetholla seva: mount -t ceph. [imeile e sirelelitsoe]_name=/[subdir] mnt -o mon_addr=monip1[:port][/monip2[:port]]
    • Sistimi ea faele ea Ext4 e falletse ho API e ncha e ntseng e hola e arolang mehato ea tlhophiso ea li-mountain le li-superblock. Re lahlile tšehetso bakeng sa likhetho tsa lazytime le nolazytime mount, tse kentsoeng e le phetoho ea nakoana ho nolofatsa phetoho ea util-linux ho sebelisa folakha ea MS_LAZYTIME. Tšehetso e ekelitsoeng bakeng sa ho seta le ho bala lileibole ho FS (ioctl FS_IOC_GETFSLABEL le FS_IOC_SETFSLABEL).
    • NFSv4 e ekelitse ts'ehetso bakeng sa ho sebetsa lits'ebetsong tsa lifaele tse sa utloeng letho ka mabitso a lifaele le li-directory. NFSv4.1+ e eketsa tšehetso bakeng sa ho hlalosa linako tse kopaneng (trunking).
  • Litšebeletso tsa memori le tsamaiso
    • E kenyellelitsoe mokhanni oa amd-pstate ho fana ka taolo e matla ea frequency bakeng sa ts'ebetso e nepahetseng. Mokhanni o ts'ehetsa li-CPU tsa AMD le li-APU ho tloha molokong oa Zen 2, o ntlafalitsoeng ka kopanelo le Valve mme o ikemiselitse ho ntlafatsa ts'ebetso ea taolo ea matla. Bakeng sa liphetoho tsa maqhubu a feto-fetohang, mochine oa CPPC (Collaborative Processor Performance Control) o sebelisoa, o lumellang hore u fetole matšoao ka nepo (e sa lekanyetsoe ho maemo a mararo a ts'ebetso) 'me u arabele ka potlako ho liphetoho tsa boemo ho feta P-state e neng e sebelisoa pele ho ACPI. bakhanni (CPUFreq).
    • EBPF subsystem e fana ka bpf_loop() handler, e fanang ka mokhoa o mong oa ho hlophisa loops mananeong a eBPF, ka potlako le ka mokhoa o bonolo bakeng sa ho netefatsoa ke motho ea netefatsang.
    • Boemong ba kernel, mochine oa CO-RE (Compile Once - Run Everywhere) o kenngoa ts'ebetsong, o u lumellang ho bokella khoutu ea mananeo a eBPF hanngoe feela 'me u sebelise mochine o khethehileng oa bokahohle o fetolang lenaneo le laetsoeng ho mefuta ea hona joale ea kernel le ea BTF. (Sebopeho sa Mofuta oa BPF).
    • Hoa khonahala ho abela mabitso libakeng tsa memori e sa tsejoeng (e fanoeng ka malloc), e ka nolofatsang ho lokisa liphoso le ho ntlafatsa ts'ebeliso ea memori lits'ebetsong. Mabitso a fanoa ka prctl le folakha ea PR_SET_VMA_ANON_NAME 'me a hlahisoa ho /proc/pid/maps le /proc/pid/smaps ka mokhoa "[anon: ]".
    • Kemiso ea mosebetsi e fana ka ho latela le ho bonts'a ho /proc/PID/sched nako e sebelisitsoeng ke lits'ebetso sebakeng sa ho se sebetse se qobelloang, se sebelisoang, mohlala, ho fokotsa mojaro ha processor e chesa haholo.
    • E kenyellelitsoe mojule oa gpio-sim, o etselitsoeng ho etsisa lichifi tsa GPIO bakeng sa tlhahlobo.
    • E kentse "latency" subcommand ho taelo ea "perf ftrace" ho hlahisa li-histograms tse nang le tlhaiso-leseling ea latency.
    • E kentse lisebelisoa tsa "RTLA" bakeng sa ho sekaseka mosebetsi ka nako ea nnete. E kenyelletsa lisebelisoa tse kang osnoise (e khethollang tšusumetso ea sistimi ea ts'ebetso ts'ebetsong ea mosebetsi) le timerlat (e fetola tieho e amanang le sebali sa nako).
    • Letoto la bobeli la li-patches le kopantsoe le ts'ebetsong ea khopolo ea maqephe a maqephe, a tšoanang le maqephe a kopantsoeng, empa a ntlafalitse semantics le mokhatlo o hlakileng oa mosebetsi. Ho sebelisa tomes ho u lumella ho potlakisa taolo ea memori lits'ebetsong tse ling tsa kernel. Lipache tse reriloeng li ile tsa phethela phetoho ea cache ea leqephe ho sebelisoa ha tomes mme tsa eketsa tšehetso ea pele bakeng sa tomes ho sistimi ea faele ea XFS.
    • E kenyellelitse "make mod2noconfig" mokhoa oa ho haha, o hlahisang tlhophiso e bokellang lits'ebetso tsohle tse holofetseng ka mokhoa oa li-module tsa kernel.
    • Litlhoko tsa mofuta oa LLVM/Clang tse ka sebelisoang ho aha kernel li phahamisitsoe. Ho aha hona joale ho hloka bonyane tokollo ea LLVM 11.
  • Virtualization le Tšireletso
    • Ts'ebetsong e nchafalitsoeng ea jenereithara ea pseudo-random number generator RDRAND, e ikarabellang bakeng sa ts'ebetso ea / dev / random le / dev / urandom lisebelisoa, e hlahisoa, e hlokomelehang bakeng sa phetoho ea ho sebelisa BLAKE2s hash function sebakeng sa SHA1 bakeng sa ts'ebetso ea ho kopanya entropy. Phetoho e ntlafalitse ts'ireletso ea jenereithara ea pseudo-random ea linomoro ka ho felisa algorithm e nang le bothata ea SHA1 le ho felisa ho ngola holimo ha vector ea ho qala ea RNG. Kaha algorithm ea BLAKE2s e phahametse SHA1 ts'ebetsong, ts'ebeliso ea eona le eona e bile le phello e ntle ts'ebetsong.
    • Tšireletso e ekelitsoeng khahlano le bofokoli ho li-processor tse bakoang ke ts'ebetso e inahaneloang ea litaelo ka mor'a ts'ebetso e sa hlokahaleng ea ho tlola pele. Bothata bo hlaha ka lebaka la ts'ebetso ea pele ho ts'ebetso ea litaelo hang ka mor'a taelo ea lekala mohopolong (SLS, Straight Line Speculation). Ho nolofatsa ts'ireletso ho hloka ho aha ka tokollo ea hajoale ea tlhahlobo ea GCC 12.
    • E kenyellelitse mokhoa oa ho latella ho bala litšupiso (refcount, reference-count), e reretsoeng ho fokotsa palo ea liphoso ha ho baloa litšupiso tse lebisang ho phihlello ea mohopolo kamora hore e lokolloe. Hona joale mochine o lekanyelitsoe ho subsystem ea marang-rang, empa nakong e tlang e ka fetoloa likarolong tse ling tsa kernel.
    • Litlhahlobo tse atolositsoeng tsa lipehelo tse ncha tsa ts'ebetso ea leqephe la memori li kentsoe ts'ebetsong, ho lumella ho bona mefuta e itseng ea tšenyo le ho emisa sistimi, ho thibela litlhaselo qalong.
    • E ekelitse bokhoni ba ho manolla li-module tsa kernel ka ho toba ka kernel ka boeona, eseng ka motho ea sebetsang sebakeng sa mosebedisi, e lumellang ho sebelisa module ea LoadPin LSM ho etsa bonnete ba hore li-module tsa kernel li kenngoa mohopolong ho tloha mochine o tiisitsoeng oa polokelo.
    • E fane ka kopano e nang le folakha ea "-Wcast-function-type", e fanang ka litemoso mabapi le ho hlahisa lisupa tsa ts'ebetso ho mofuta o sa lumellaneng.
    • PvUSB e kenyellelitsoeng bakeng sa Xen hypervisor, e fanang ka phihlello ea lisebelisoa tsa USB tse romelloang ho sistimi ea baeti (e lumella litsamaiso tsa baeti ho fihlella lisebelisoa tsa USB tsa 'mele tse abetsoeng sistimi ea baeti).
    • Ho kenyelitsoe mojule o u lumellang ho sebelisana ka Wi-Fi le IME (Intel Management Engine) subsystem, e tlang ka liboto tsa bo-mme tsa sejoale-joale tse nang le li-processor tsa Intel mme e kengoa ts'ebetsong e le microprocessor e ikemetseng e sebetsang ntle le CPU.
    • Bakeng sa meralo ea ARM64, ts'ehetso e kentsoe ts'ebetsong bakeng sa sesebelisoa sa debugging sa KCSAN (Kernel Concurrency Sanitizer), se etselitsoeng ho bona maemo a morabe ka har'a kernel.
    • Bakeng sa litsamaiso tsa 32-bit ARM, bokhoni ba ho sebelisa mochini oa KFENCE ho bona liphoso ha o sebetsa ka mohopolo bo kentsoe.
    • KVM hypervisor e eketsa tšehetso bakeng sa litaelo tsa AMX (Advanced Matrix Extensions) tse kentsoeng tšebetsong ho li-processor tse tlang tsa Intel Xeon Scalable.
  • Sistimi e nyane ea marang-rang
    • Ts'ehetso e ekelitsoeng bakeng sa ts'ebetso ea ho theola e amanang le taolo ea sephethephethe lehlakoreng la lisebelisoa tsa marang-rang.
    • E kentse bokhoni ba ho sebelisa MCTP (Management Component Transport Protocol) holim'a lisebelisoa tsa serial. MCTP e ka sebelisoa ho buisana pakeng tsa balaoli ba tsamaiso le lisebelisoa tse amanang le bona (li-host processors, peripherals, joalo-joalo).
    • TCP stack e ntlafalitsoe, mohlala, ho ntlafatsa ts'ebetso ea mehala ea recvmsg, ho lieha ho lokolloa ha li-socket buffers ho kentsoe tšebetsong.
    • Boemong ba bolaoli ba CAP_NET_RAW, ho beha mekhoa ea SO_PRIORITY le SO_MARK ka mokhoa oa setsockopt ho lumelloa.
    • Bakeng sa IPv4, li-sockets tse tala li lumelletsoe ho tlamahanngoa le liaterese tsa IP tseo e seng tsa lehae ho sebelisoa khetho ea IP_FREEBIND le IP_TRANSPARENT.
    • E kenyellelitse sysctl arp_missed_max ho lokisa palo ea litšitiso nakong ea tlhahlobo ea ARP, ka mor'a moo sebopeho sa marang-rang se behoa boemong bo holofetseng.
    • E fane ka bokhoni ba ho hlophisa sysctl min_pmtu e arohaneng le mtu_expires boleng bakeng sa libaka tsa mabitso a marang-rang.
    • E kenyellelitse bokhoni ba ho beha le ho tseba boholo ba li-buffer bakeng sa lipakete tse kenang le tse tsoang ho ethtool API.
    • Netfilter e kentse tšehetso bakeng sa ho sefa sephethephethe sa lipalangoang tsa pppoe borokhong ba marang-rang.
    • Mojule oa ksmbd, o sebelisang seva sa faele o sebelisang protocol ea SMB3, o ekelitse tšehetso bakeng sa phapanyetsano ea senotlolo, o nolofalitse marang-rang 445 bakeng sa smbdirect, mme o ekelitse tšehetso bakeng sa parameter ea "smb2 max credit".
  • Lisebelisoa
    • Tšehetso ea li-skrini bakeng sa ho hlahisa tlhahisoleseding ea lekunutu e kenyelelitsoe tsamaisong e nyenyane ea drm (Direct Rendering Manager) le mokhanni oa i915, mohlala, li-laptops tse ling li na le li-skrini tse nang le mokhoa oa ho shebella lekunutu, o etsang hore ho be thata ho sheba ka ntle. . Liphetoho tse ekelitsoeng li u lumella ho hokela bakhanni ba khethehileng bakeng sa li-skrini tse joalo le ho laola mekhoa ea lekunutu ea ho bala ka ho beha thepa ho bakhanni ba tloaelehileng ba KMS.
    • Mokhanni oa amdgpu o kenyelletsa tšehetso bakeng sa theknoloji ea ho lokisa ea STB (Smart Trace Buffer) bakeng sa li-GPU tsohle tsa AMD tse e tšehetsang. STB e etsa hore ho be bonolo ho hlahloba liphoso le ho tseba mohloli oa mathata ka ho boloka boitsebiso bo khethehileng ba buffer mabapi le mesebetsi e entsoeng pele ho hloleha ho qetela.
    • Mokhanni oa i915 o eketsa ts'ehetso bakeng sa li-chips tsa Intel Raptor Lake S 'me o nolofalletsa tšehetso bakeng sa tsamaiso ea litšoantšo ea Intel Alder Lake P chips ka mokhoa o ikhethileng.
    • Ts'ehetso ea ho potlakisa ha Hardware ho khomphutha e khutlisitsoe ho bakhanni ba fbcon/fbdev.
    • Khokahano e tsoelang pele ea liphetoho ho tšehetsa li-chips tsa Apple M1. E kentse bokhoni ba ho sebelisa mokhanni oa simpledrm ho litsamaiso tse nang le Apple M1 chip bakeng sa tlhahiso ka foreimi e fanoeng ke firmware.
    • Tšehetso e ekelitsoeng bakeng sa ARM SoС, lisebelisoa le liboto tsa Snapdragon 7c, 845 le 888 (Sony Xperia XZ2 / XZ2C / XZ3, Xperia 1 III / 5 III, Samsung J5, Microsoft Surface Duo 2), Mediatek MT6589 (Fairphone FP1), Mediatek MT8183 ( Acer Chromebook 314), Mediatek MT7986a/b (e sebelisoa ho lirotara tsa Wi-fi), Broadcom BCM4908 (Netgear RAXE500), Qualcomm SDX65, Samsung Exynos7885, Renesas R-Car S4-8, TI J721s2, TILP320P8P8P2500P2600P32s NPEX1PX6PX6000PSEar , Aspeed AST6001/AST14, Engicam i.Core STM16MPXNUMX, Allwinner Tanix TXXNUMX, Facebook Bletchley BMC, Goramo MultiLink, JOZ Access Point, Y Soft IOTA Crux/Crux+, tXNUMX/tXNUMX MacBook Pro XNUMX/XNUMX Pro XNUMX/XNUMX
    • Tšehetso e ekelitsoeng bakeng sa li-processor tsa ARM Cortex-M55 le Cortex-M33.
    • Tšehetso e ekelitsoeng bakeng sa lisebelisoa tse thehiloeng ho CPU MIP: Linksys WRT320N v1, Netgear R6300 v1, Netgear WN2500RP v1/v2.
    • Ts'ehetso e ekelitsoeng bakeng sa StarFive JH7100 SoC e ipapisitseng le meralo ea RISC-V.
    • E kentse mokhanni oa lenovo-yogabook-wmi ho laola lebone la keyboard le ho fihlella li-sensor tse fapaneng ho Lenovo Yoga Book.
    • E kentse mokhanni oa asus_wmi_sensors ho fihlella li-sensor tse sebelisoang ho Asus X370, X470, B450, B550 le X399 motherboards e thehiloeng ho li-processor tsa AMD Ryzen.
    • Mokhanni oa li-tablet tsa x86-android-tablets bakeng sa li-PC tse thehiloeng ho x86 tse rometsoeng ka sethala sa Android.
    • Tšehetso e ekelitsoeng bakeng sa li-skrini tsa touch tsa TrekStor SurfTab duo W1 le pene ea elektroniki bakeng sa matlapa a Chuwi Hi10 Plus le Pro.
    • Bakhanni ba SoC Tegra 20/30 ba ekelitse tšehetso bakeng sa taolo ea matla le motlakase. E nolofalletsa ho qala lisebelisoa tsa khale tsa 32-bit Tegra SoC tse kang ASUS Prime TF201, Pad TF701T, Pad TF300T, Infinity TF700T, EeePad TF101 le Pad TF300TG.
    • Bakhanni ba ekelitsoeng bakeng sa likhomphutha tsa indasteri tsa Siemens.
    • Tšehetso e ekelitsoeng bakeng sa Sony Tulip Truly NT35521, Vivax TPC-9150, Innolux G070Y2-T02, BOE BF060Y8M-AJ0, JDI R63452, Novatek NT35950, Wanchanglong W552946ABA le Sehlopha sa Mohloli oa LCD 043015ABA le Sehlopha sa Mohloli oa TSTXNUMXCMXNUMX LCD LCD
    • Ts'ehetso e ekelitsoeng bakeng sa litsamaiso tsa molumo le li-codecs AMD Renoir ACP, Asahi Kasei Microdevices AKM4375, litsamaiso tsa Intel tse sebelisang NAU8825/MAX98390, Mediatek MT8915, nVidia Tegra20 S/PDIF, Qualcomm ALC5682I-VS, Texas Instruments TLVxxxDC320A. Mathata a Tegra3 HD-audio a rarollotsoe. E kenyellelitse tšehetso ea HDA bakeng sa li-codec tsa CS194L35. Ts'ehetso e ntlafalitsoeng ea litsamaiso tsa molumo bakeng sa lilaptop tsa Lenovo le HP, hammoho le liboto tsa bo-'mè tsa Gigabyte.

Source: opennet.ru

Eketsa ka tlhaloso