Ho lokolloa ha kernel ea Linux 5.18

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux kernel 5.18. Har'a liphetoho tse hlokomelehang haholo: ho ile ha etsoa tlhoekiso e kholo ea ts'ebetso e sa sebetseng, Reiserfs FS e ile ea phatlalatsoa e sa sebetse, liketsahalo tsa ts'ebetso ea basebelisi li ile tsa kengoa ts'ebetsong, ts'ehetso ea mochini oa ho thibela ts'ebetso ea Intel IBT e ile ea eketsoa, ​​​​mokhoa oa ho lemoha ha buffer overflow o ile oa lumelloa ha ho sebelisoa memcpy () ts'ebetso, ho ile ha eketsoa mochine oa ho latella mehala ea ts'ebetso ea fprobe, Ts'ebetso ea kemiso ea mesebetsi ho AMD Zen CPU e ntlafalitsoe, mokhanni oa tsamaiso ea ts'ebetso ea Intel CPU (SDS) e kenyelelitsoe, li-patches tse ling li kopantsoe. bakeng sa ho hlophisa bocha lifaele tsa lihlooho, 'me tšebeliso ea maemo a C11 e amohetsoe.

Phetolelo e ncha e kenyelletsa litokiso tse 16206 ho tsoa ho bahlahisi ba 2127 (tokolong ea ho qetela ho bile le litokiso tse 14203 ho tsoa ho bahlahisi ba 1995), boholo ba patch ke 108 MB (liphetoho tse ammeng lifaele tsa 14235, mela ea khoutu e 1340982 e kentsoe, mela e 593836 e hlakotsoe). Hoo e ka bang 44% ea liphetoho tsohle tse hlahisitsoeng ho 5.18 li amana le bakhanni ba lisebelisoa, hoo e ka bang 16% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 11% e amana le stack ea marang-rang, 3% e amana le mekhoa ea lifaele, le 3% li amana le li-subsystem tsa kernel tse ka hare.

Mekhoa e mecha ea bohlokoa ho kernel 5.18:

  • Disk Subsystem, I/O le File Systems
    • Sistimi ea faele ea Btrfs e ekelitse ts'ehetso bakeng sa ho fetisa data e hatelitsoeng ha o etsa ts'ebetso ea ho romella le ho amohela. Nakong e fetileng, ha u sebelisa ho romela / ho amohela, lehlakore le romellang le ne le fokotsa data e bolokiloeng ka mokhoa o hatelitsoeng, 'me lehlakore le amohelang le ile la e hatisa pele le e ngola. Ho 5.18 kernel, lits'ebetso tsa sebaka sa basebelisi tse sebelisang mehala ea ho romella / ho amohela li fuoe bokhoni ba ho fetisa data e hatelitsoeng ntle le ho paka bocha. Ts'ebetso ena e kengoa ts'ebetsong ka lebaka la ts'ebetso e ncha ea ioctl BTRFS_IOC_ENCODED_READ le BTRFS_IOC_ENCODED_WRITE, e u lumellang ho bala le ho ngola tlhahisoleseling ho isa tekanyong.

      Ntle le moo, Btrfs e ntlafatsa ts'ebetso ea fsync. E ekelitse bokhoni ba ho qhekella le ho etsa reflink (ho kopanya metadata ea faele ka ho theha sehokelo sa data e teng ntle le ho e kopitsa) bakeng sa polokelo eohle, e sa felle feela libakeng tse holimo.

    • Ka mokhoa oa Direct I / O, hoa khoneha ho fihlella lifaele tse patiloeng ha fscrypt e sebelisa inline encryption, eo ho eona ts'ebetso ea encryption le decryption e etsoang ke molaoli oa drive ho fapana le kernel. Ka encryption e tloaelehileng ea kernel, phihlello ea lifaele tse patiloeng u sebelisa Direct I/O e ntse e sa khonehe, kaha lifaele li fihlelleha ho feta mochini oa buffering kernel.
    • Seva ea NFS e kenyelletsa ts'ehetso ea protocol ea NFSv3 ka ho sa feleng, eo hajoale e sa hlokeng matla a arohaneng 'me e fumaneha ha NFS e nolofalitsoe ka kakaretso. NFSv3 e nkuoa e le eona mofuta oa mantlha le o ts'ehelitsoeng ka mehla oa NFS, 'me tšehetso ea NFSv2 e ka emisoa nakong e tlang. Bokhoni ba litaba tsa bukana ea ho bala bo ntlafalitsoe haholo.
    • Sistimi ea faele ea ReiserFS e tlositsoe fatše mme e lebelletsoe ho tlosoa ka 2025. Ho theola ReiserFS ho tla fokotsa boikitlaetso bo hlokahalang ho boloka liphetoho tse pharalletseng tsa sistimi e amanang le ts'ehetso bakeng sa API e ncha ea ho kenya, iomap, le tomes.
    • Bakeng sa sistimi ea faele ea F2FS, bokhoni ba ho etsa 'mapa oa li-ID tsa sistimi ea faele e kentsoeng, e sebelisetsoang ho bapisa lifaele tsa mosebelisi ea itseng sebakeng sa kantle ho naha le mosebelisi e mong tsamaisong ea hajoale.
    • Khoutu ea ho bala lipalo-palo ho li-Device-mapper handlers e se e entsoe bocha, e leng se ntlafalitseng haholo ho nepahala ha liak'haonte ho batho ba sebetsang joalo ka dm-crypt.
    • Lisebelisoa tsa NVMe joale li tšehetsa li-checksums tsa 64-bit bakeng sa ho lekola botšepehi.
    • Bakeng sa sistimi ea faele ea exfat, ho hlahisitsoe khetho e ncha ea "keep_last_dots", e thibelang ho hlakola matheba qetellong ea lebitso la faele (ho Windows, matheba a qetellong ea lebitso la faele a tlosoa ka ho sa feleng).
    • EXT4 e ntlafatsa ts'ebetso ea fast_commit mode mme e eketsa scalability. Khetho ea "mb_optimize_scan", e lumellang ho eketsa ts'ebetso maemong a karohano e kholo ea sistimi ea faele, e lokiselitsoe ho sebetsa ka lifaele tse nang le boholo.
    • Tšehetso ea ho ngola melapo ho subsystem e tšehetsang lisebelisoa tsa block e khaotsoe. Karolo ena e ne e reriloe bakeng sa li-SSD, empa e ne e sa ata 'me hona joale ha ho na lisebelisoa tse sebelisoang tse tšehetsang mokhoa ona mme ha ho na monyetla oa hore li tla hlaha nakong e tlang.
  • Litšebeletso tsa memori le tsamaiso
    • Ho kopanngoa ha lihlopha tsa li-patches ho qalile, ho lumella ho fokotsa haholo nako ea ho tsosolosa kernel ka ho hlophisa bocha boemo ba lifaele tsa lihlooho le ho fokotsa palo ea litšepe tse fapaneng. Kernel 5.18 e kenyelletsa lipache tse ntlafatsang sebopeho sa lifaele tsa lihlooho tsa kemiso ea mosebetsi (kernel/sched). Ha ho bapisoa le tokollo e fetileng, tšebeliso ea nako ea CPU ha e bokella kernel / sched / code e fokotsehile ka 61%, 'me nako ea sebele e fokotsehile ka 3.9% (ho tloha 2.95 ho ea 2.84 sec).
    • Khoutu ea Kernel e lumelletsoe ho sebelisa standard ea C11, e phatlalalitsoeng ka 2011. Pejana, khoutu e kenyellelitsoeng kernel e ne e tlameha ho latela litlhaloso tsa ANSI C (C89), tse thehiloeng morao koana ka 1989. Ho 5.18 kernel build scripts, khetho ea '—std=gnu89' e nkeloa sebaka ke '—std=gnu11 -Wno-shift-negative-value'. Monyetla oa ho sebelisa maemo a C17 o ne o nahanoa, empa tabeng ena ho ne ho tla hlokahala ho eketsa phetolelo e fokolang e tšehetsoeng ea GCC, ha ho kenyelletsoa ha tšehetso ea C11 ho lumellana le litlhoko tsa hona joale tsa phetolelo ea GCC (5.1).
    • Ts'ebetso e ntlafalitsoeng ea kemiso ea mosebetsi ho li-processor tsa AMD tse nang le microarchitecture ea Zen, e fanang ka Cache ea Boemo ba ho Qetela (LLC) e mengata bakeng sa node ka 'ngoe e nang le liteishene tsa memori tsa lehae. Phetolelo e ncha e felisa ho se leka-lekane ha LLC lipakeng tsa li-node tsa NUMA, e leng se lebisitseng keketsehong e kholo ea ts'ebetso ea mefuta e meng ea mosebetsi.
    • Lisebelisoa tsa ho lata lits'ebetso sebakeng sa basebelisi li atolositsoe. Mofuta o mocha oa kernel o eketsa bokhoni ba lits'ebetso tsa mosebelisi ho theha liketsahalo tsa mosebelisi le ho ngola data ho trace buffer, e ka shejoang ka lits'ebeletso tse tloaelehileng tsa ho batla kernel joalo ka ftrace le perf. Liketsahalo tsa sebaka sa basebelisi li qheletsoe ka thoko ho liketsahalo tsa kernel trace. Boemo ba ketsahalo bo ka bonoa ka faele /sys/kernel/debug/tracing/user_events_status, le ngoliso ea ketsahalo le ho rekota data ka faele /sys/kernel/debug/tracing/user_events_data.
    • E kentse mokhoa oa ho latedisa (probe) mehala e sebetsang - fprobe. Fprobe API e ipapisitse le ftrace, empa e lekantsoe feela ke bokhoni ba ho hokela li-callback handles libakeng tsa ho kena le libaka tsa ho tsoa. Ho fapana le kprobes le kretprobes, mochine o mocha o u lumella hore u sebelise sebatli se le seng bakeng sa mesebetsi e mengata ka nako e le 'ngoe.
    • Tšehetso bakeng sa li-processor tsa khale tsa ARM (ARMv4 le ARMv5) tse se nang mochine oa tsamaiso ea memori (MMU) e khaolitse. Tšehetso bakeng sa litsamaiso tsa ARMv7-M ntle le MMU e bolokiloe.
    • Ts'ehetso ea meralo ea RISC e kang NDS32 e sebelisoang ho li-processor tsa Andes Technologies e emisitsoe. Khoutu e tlositsoe ka lebaka la khaello ea tlhokomelo le tlhokeho ea tlhokahalo ea tšehetso ea NDS32 ho Linux kernel e kholo (basebelisi ba setseng ba sebelisa lisebelisoa tse khethehileng tsa kernel ho tsoa ho baetsi ba lisebelisoa).
    • Ka ho sa feleng, ho aha kernel ka ts'ehetso bakeng sa fomete ea faele e phethiloeng ea a.out e koetsoe bakeng sa meaho ea alpha le m68k, e tsoelang pele ho sebelisa sebopeho sena. Ho ka etsahala hore ts'ehetso ea mofuta oa lefa a.out e tlosoe ka botlalo kernel haufinyane. Merero ea ho tlosa sebopeho sa a.out e se e tšohliloe ho tloha ka 2019.
    • Mohaho oa PA-RISC o fana ka ts'ehetso e fokolang bakeng sa mochine oa vDSO (virtual dynamic shared objects), o fanang ka mohala o lekanyelitsoeng oa mehala ea tsamaiso e fumanehang sebakeng sa mosebedisi ntle le ho fetola maemo. Ts'ehetso ea vDSO e entse hore ho khonehe ho kenya ts'ebetsong bokhoni ba ho matha ka stack e ke keng ea phethahala.
    • Ts'ehetso e ekelitsoeng bakeng sa mochini oa Intel HFI (Hardware Feedback Interface), e lumellang lisebelisoa ho fetisetsa tlhahisoleseling ho kernel mabapi le ts'ebetso ea hajoale le ts'ebetso ea matla ea CPU ka 'ngoe.
    • E kentse mokhanni oa mochine oa Intel SDSi (Software-Defined Silicon), e leng se u lumellang ho laola ho kenngoa ha likarolo tse eketsehileng ho processor (mohlala, litaelo tse khethehileng le memori e eketsehileng ea cache). Mohopolo ke hore li-chips li ka fanoa ka theko e tlase ka lits'ebetso tse tsoetseng pele tse notletsoeng, tse ka "rekoang" le bokhoni bo eketsehileng bo kentsoeng ntle le ho nkela chip sebaka.
    • Mokhanni oa amd_hsmp o kenyellelitsoe ho ts'ehetsa sebopeho sa AMD HSMP (Host System Management Port), se fanang ka phihlello ea lits'ebetso tsa taolo ea processor ka sete ea lirekoto tse ikhethileng tse hlahileng ho li-processor tsa seva tsa AMD EPYC ho qala ka moloko oa Fam19h. Mohlala, ka HSMP u ka fumana data mabapi le tšebeliso ea matla le mocheso, beha meeli ea makhetlo, kenya tšebetsong mekhoa e fapaneng ea ntlafatso ea ts'ebetso, 'me u tsamaise liparamente tsa memori.
    • Io_uring segokanyimmediamentsi sa sebolokigolo sa I/O se kenya tshebetsong kgetho ya IORING_SETUP_SUBMIT_ALL ho ngodisa sete ya ditlhaloso tsa difaele ka har'a ring buffer, le IORING_OP_MSG_RING opereishene ho romela lets'oao ho tloha ho lesaka le leng ho ea ho lesakana le leng.
    • Mokhoa oa DAMOS (Data Access Monitoring-based Operation Schemes), o lumellang mohopolo hore o lokolloe ho nahanoa ka makhetlo a phihlello ea mohopolo, o atolositse bokhoni ba ho lekola ts'ebetso ea memori ho tsoa sebakeng sa mosebelisi.
    • Letoto la boraro la li-patches le kopantsoe le ts'ebetsong ea khopolo ea maqephe a maqephe, a tšoanang le maqephe a kopantsoeng, empa a ntlafalitse semantics le mokhatlo o hlakileng oa mosebetsi. Ho sebelisa tomes ho u lumella ho potlakisa taolo ea memori lits'ebetsong tse ling tsa kernel. Lipacheng tse reriloeng, mesebetsi ea taolo ea memori ea kahare e fetoletsoe ho folios, ho kenyeletsoa le mefuta e fapaneng ea ts'ebetso ea get_user_pages(). E fane ka ts'ehetso bakeng sa ho theha lipalo tse kholo ka khoutu ea ho bala pele.
    • Hona joale tsamaiso ea kopano e tšehetsa mefuta e fapaneng ea tikoloho ea USERCFLAGS le USERLDFLAGS, eo ka eona u ka fetisang lifolakha tse eketsehileng ho moqapi le sehokelo.
    • Ka har'a subsystem ea eBPF, mochini oa BTF (BPF Type Format), o fanang ka tlhaiso-leseling ea tlhahlobo ea mofuta ho BPF pseudocode, e fana ka bokhoni ba ho kenyelletsa litlhaloso ho mefuta e buang ka libaka tsa memori sebakeng sa mosebelisi. Litlhaloso li thusa sistimi ea netefatso ea khoutu ea BPF ho tseba hantle le ho netefatsa phihlello ea memori.
    • Ho entsoe tlhahiso e ncha ea kabo ea memori bakeng sa ho boloka mananeo a BPF a imetsoeng, e lumellang tšebeliso e ntle ea mohopolo maemong ao palo e kholo ea mananeo a BPF a kentsoeng.
    • Letlapa la MADV_DONTNEED_LOCKED le kenyelelitsoe ho madvise () mohala oa tsamaiso, o fanang ka lisebelisoa tsa ho ntlafatsa tsamaiso ea memori ea ts'ebetso, e tlatsanang le folakha e teng ea MADV_DONTNEED, eo ka eona kernel e ka tsebisoang esale pele mabapi le tokollo e atamelang ea "memory block", ke hore. hore block ena ha e sa hlokahala mme e ka sebelisoa ke kernel. Ho fapana le MADV_DONTNEED, ts'ebeliso ea folakha ea MADV_DONTNEED_LOCKED e lumelletsoe bakeng sa maqephe a memori a kentsoeng ho RAM, ao, ha madvise a bitsoa, ​​a lelekoang ntle le ho fetola maemo a bona a phini, mme haeba ho ka ba le phihlello e latelang ho "block" le ho hlahisa "leqephe". phoso,” li khutlisetsoa tlamo li bolokiloe. Ho feta moo, phetoho e kentsoe ho lumella folakha ea MADV_DONTNEED hore e sebelisoe le maqephe a maholo a memori ho HugeTLB.
  • Virtualization le Tšireletso
    • Bakeng sa meralo ea x86, ts'ehetso e kenyellelitsoe bakeng sa mochini oa ts'ireletso ea taelo ea Intel IBT (Indirect Branch Tracking) e thibelang ts'ebeliso ea mahlale a kaho a sebelisa mekhoa ea mananeo a khutlelang morao (ROP, Return-Oriented Programming), eo ho eona ho sebelisoang hampe. e thehoa ka mokhoa oa ketane ea li-call tse seng li ntse li le teng mohopolong oa likotoana tsa litaelo tsa mochine tse qetellang ka taelo ea ho khutlisa taolo (e le molao, tsena ke lipheletsong tsa mesebetsi). Moko-taba oa mokhoa oa ts'ireletso o kentsoeng ts'ebetsong ke ho thibela phetoho e sa tobang ho 'mele oa ts'ebetso ka ho kenyelletsa taelo e khethehileng ea ENDBR qalong ea ts'ebetso le ho lumella ho etsoa ha phetoho e sa tobang feela ha ho ka fetoloa taelo ena (e sa tobang). letsetsa ka JMP mme CALL e tlameha ho lula e oela ho ENDBR taeo, e behiloeng lits'ebetsong tse qalang).
    • E nolofalitse tlhahlobo e matla haholoanyane ea meedi ho memcpy(), memmove() le memset() ditshebetso, tse entsweng ka nako e kopantsweng ha mokgwa wa CONFIG_FORTIFY_SOURCE o butswe. Phetoho e ekelitsoeng e theohela ho hlahloba hore na likarolo tsa mehaho tseo boholo ba tsona bo tsejoang li feta meeli. Hoa hlokomeloa hore karolo e kentsoeng ts'ebetsong e ka lumella ho thibela memcpy()-e amanang le kernel buffer overflows e khethiloeng bonyane lilemong tse tharo tse fetileng.
    • E kenyellelitse karolo ea bobeli ea khoutu bakeng sa ts'ebetsong e nchafalitsoeng ea RDRAND pseudo-random number jenereithara, e ikarabellang bakeng sa ts'ebetso ea lisebelisoa tsa / dev / random le / dev / urandom. Ts'ebetso e ncha e bohlokoa bakeng sa ho kopanya ts'ebetso ea / dev / random le / dev / urandom, ho eketsa ts'ireletso khahlano le ponahalo ea likopi tsa linomoro tse sa reroang ha ho qala mechini e sebetsang, le ho fetohela ho sebelisa BLAKE2s hash function sebakeng sa SHA1 bakeng sa ts'ebetso ea ho kopanya entropy. Phetoho e ntlafalitse ts'ireletso ea jenereithara ea pseudo-random ea linomoro ka ho felisa algorithm e nang le bothata ea SHA1 le ho felisa ho ngola holimo ha vector ea ho qala ea RNG. Kaha algorithm ea BLAKE2s e phahametse SHA1 ts'ebetsong, ts'ebeliso ea eona le eona e bile le phello e ntle ts'ebetsong.
    • Bakeng sa meralo ea ARM64, tšehetso e kentsoe bakeng sa algorithm e ncha ea netefatso ea pointer - "QARMA3", e lebelo ho feta algorithm ea QARMA ha e ntse e boloka boemo bo nepahetseng ba ts'ireletso. Theknoloji e u lumella ho sebelisa litaelo tse khethehileng tsa ARM64 ho netefatsa liaterese tsa ho khutlisa u sebelisa li-signature tsa dijithale tse bolokiloeng likarolong tse ka holimo tse sa sebelisoeng tsa pointer ka boeona.
    • Bakeng sa meralo ea ARM64, ts'ehetso e kentsoe ts'ebetsong bakeng sa kopano ka ho kenyeletsoa ho GCC 12 ea mokhoa oa ts'ireletso khahlano le ho hlakola aterese ea ho khutla ho tsoa ts'ebetsong ha ho ka ba le buffer e ngata ho stack. Ntho ea bohlokoa ea tšireletso ke ho boloka aterese ea ho khutlisa ka har'a "moriti" o arohaneng ka mor'a ho fetisetsa taolo mosebetsing le ho fumana aterese ena pele o tsoa mosebetsing.
    • E kentse senotlolo se secha - "mochini", o nang le linotlolo tsa mong'a sistimi (MOK, Linotlolo tsa Mong'a Mochini), o tšehelitsoeng ho shim bootloader. Linotlolo tsena li ka sebelisoa ho saena likarolo tsa kernel tse kentsoeng sethaleng sa post-boot (mohlala, li-module tsa kernel).
    • Ts'ehetso e tlositsoeng bakeng sa linotlolo tsa poraefete tsa asymmetric bakeng sa li-TPM, tse neng li fanoe ka mofuta oa lefa la TPM, li ne li e-na le litaba tse tsebahalang tsa ts'ireletso, 'me li ne li sa amoheloe ka mokhoa o pharaletseng.
    • Tšireletso e ekelitsoeng ea data ka mofuta oa size_t ho tsoa ho palo e felletseng. Khoutu e kenyelletsa li- handlers size_mul(), size_add() le size_sub(), tse u lumellang ho atisa, ho eketsa le ho tlosa boholo ka mofuta oa size_t.
    • Ha ho etsoa kernel, lifolakha tsa "-Warray-bounds" le "-Wzero-length-bounds" lia lumelloa, tse bontšang litemoso ha index e fetela ka nģ'ane ho moeli oa lihlopha le ha ho sebelisoa lihlopha tsa bolelele ba zero.
    • Sesebelisoa sa virtio-crypto se ekelitse tšehetso bakeng sa encryption ho sebelisa algorithm ea RSA.
  • Sistimi e nyane ea marang-rang
    • Ts'ebetsong ea marokho a marang-rang, tšehetso bakeng sa mokhoa oa ho tlama li-port (mokhoa o notletsoeng) o ekelitsoe, moo mosebedisi a ka romelang sephethephethe ka koung feela ho tloha ho aterese ea MAC e lumelletsoeng. Bokhoni ba ho sebelisa meaho e mengata ho lekola boemo ba protocol ea STP (Spanning Tree Protocol) le eona e kentsoe. Pele, li-VLAN li ne li ka etsoa 'mapa ka kotloloho ho STP (1:1), VLAN ka 'ngoe e laoloa ka boikemelo. Phetolelo e ncha e eketsa mst_enable parameter, ha e nolofalitsoe, boemo ba VLAN bo laoloa ke module ea MST (Multiple Spanning Trees) 'me ho tlama ha VLAN ho ka lumellana le mohlala oa M: N.
    • Mosebetsi o ile oa tsoela pele ho kopanya lisebelisoa ka har'a stack ea marang-rang ho latela mabaka a ho lahla lipakete (likhoutu tsa mabaka). Khoutu ea mabaka e romelloa ha memori e amanang le pakete e lokolloa 'me e lumella maemo a kang ho lahla pakete ka lebaka la liphoso tsa hlooho, ho lemoha rp_filter spoofing, checksum e sa nepahaleng, ho tsoa mohopolong, melao ea IPSec XFRM e qalileng, nomoro ea tatellano e sa nepahaleng ea TCP, joalo-joalo.
    • Hoa khoneha ho fetisetsa lipakete tsa marang-rang ho tloha mananeong a BPF a qalileng ho tloha sebakeng sa mosebedisi ka mokhoa oa BPF_PROG_RUN, moo mananeo a BPF a etsoang ka kernel, empa a khutlisetsa sephetho sebakeng sa mosebedisi. Lipakete li fetisoa ka ho sebelisa sistimi e nyane ea XDP (eXpress Data Path). Mokhoa oa ho sebetsa oa lipakete o ts'ehelitsoe, moo processor ea XDP e ka tsamaisang lipakete tsa marang-rang ka fofa ho ea ho stack ea marang-rang kapa lisebelisoa tse ling. Hape hoa khoneha ho theha lijenereithara tsa software tsa sephethephethe sa kantle kapa liforeimi tsa marang-rang sebakeng sa marang-rang.
    • Bakeng sa mananeo a BPF a khomaretsoeng ho lihlopha tsa marang-rang, mesebetsi ea mothusi e 'nile ea etsoa tlhahiso ea ho beha ka ho hlaka boleng ba ho khutla ba mehala ea tsamaiso, e leng se etsang hore ho khonehe ho fetisa tlhahisoleseding e feletseng ka mabaka a ho thibela mohala oa tsamaiso.
    • The XDP (eXpress Data Path) subsystem e kentse tšehetso bakeng sa lipakete tse arohaneng tse behiloeng ka har'a li-buffers tse ngata, tse u lumellang hore u sebetse liforeimi tsa Jumbo ho XDP le ho sebelisa TSO/GRO (TCP Segmentation Offload/Generic Receive Offload) bakeng sa XDP_REDIRECT.
    • Mokhoa oa ho hlakola libaka tsa mabitso a marang-rang o potlakile haholo, o neng o hlokahala ho litsamaiso tse ling tse kholo tse nang le sephethephethe se seholo.
  • Lisebelisoa
    • Mokhanni oa amdgpu ka ho sa feleng o kenyelletsa theknoloji ea khokahano ea FreeSync e u lumellang hore u fetole sekhahla sa tlhaiso-leseling se skrineng, ho netefatsa litšoantšo tse boreleli le tse se nang meokho ha u ntse u bapala lipapali le ho shebella livideo. Tšehetso ea Aldebaran GPU e phatlalalitsoe e tsitsitse.
    • Mokhanni oa i915 o eketsa tšehetso bakeng sa li-chips tsa Intel Alderlake N le likarete tsa litšoantšo tsa Intel DG2-G12 (Arc Alchemist).
    • Mokhanni oa nouveau o fana ka tšehetso bakeng sa li-bitrate tse holimo bakeng sa likhokahano tsa DP/eDP le tšehetso bakeng sa li-lttprs (Link-Training Tunable PHY Repeaters) li-cable extenders.
    • In the drm (Direct Rendering Manager) ho bakhanni ba armada, exynos, gma500, hyperv, imx, ingenic, mcde, mediatek, msm, omap, rcar-du, rockchip, sprd, sti, tegra, tilcdc, xen le vc4 ts'ehetso ea paramethara. e kenyelelitsoe nomodeset, e u lumellang ho thibela ho fetola mekhoa ea video boemong ba kernel le tšebeliso ea lisebelisoa tsa ho potlakisa lisebelisoa tsa hardware, ho siea feela ts'ebetso e amanang le sebopeho sa tsamaiso.
    • Ts'ehetso e ekelitsoeng bakeng sa ARM SoС Qualcomm Snapdragon 625/632 (e sebelisitsoeng ho LG Nexus 5X le Fairphone FP3 smartphones), Samsung Exynos 850, Samsung Exynos 7885 (e sebelisitsoeng ho Samsung Galaxy A8), Airoha (Mediatek/EcoNet) EN7523, Mediatek Mt6582o5008 Mt3 tablet 966G), Microchip Lan2, Renesas RZ/G2LC, RZ/V3L, Tesla FSD, TI K62/AMXNUMX le i.MXRTxxxx.
    • Tšehetso e ekelitsoeng bakeng sa lisebelisoa le liboto tsa ARM ho tsoa ho Broadcom (Raspberry Pi Zero 2 W), Qualcomm (Google Herobrine R1 Chromebook, SHIFT6mq, Samsung Galaxy Book2), Rockchip (Pine64 PineNote, Bananapi-R2-Pro, STM32 Emtrion emSBS, Samsung Galaxy Tab S , Prestigio PMT5008 3G tablet), Allwinner (A20-Marsboard), Amlogic (Amediatek X96-AIR, CYX A95XF3-AIR, Haochuangy H96-Max, Amlogic AQ222 le OSMC Vero 4K+), Aspeed (Quanta S6Q8, ASMRock, ASMRock), / Armada (Ctera C3 V200 le V1 NAS), Mstar (DongShanPiOne, Miyoo Mini), NXP i.MX (Protonic PRT2MM, emCON-MX8M Mini, Toradex Verdin, Gateworks GW8).
    • Ts'ehetso e ekelitsoeng bakeng sa litsamaiso tsa molumo le li-codec AMD PDM, Atmel PDMC, Awinic AW8738, i.MX TLV320AIC31xx, Intel CS35L41, ESSX8336, Mediatek MT8181, nVidia Tegra234, Qualcomm SC7280, Renesas2 TAS/V585 RZ234 Texas. Kenyelletso ea pele ea mokhanni oa molumo bakeng sa chip ea Intel AVS DSP. Ts'ehetso e ntlafalitsoeng ea mokhanni bakeng sa Intel ADL le TegraXNUMX, 'me ea etsa liphetoho ho ntlafatsa tšehetso ea molumo ho lisebelisoa tsa Dell, HP, Lenovo, ASUS, Samsung le Clevo.

    Ka nako e ts'oanang, Latin American Free Software Foundation e thehile mofuta oa kernel ea mahala 5.18 - Linux-libre 5.18-gnu, e hlakotsoeng ea likarolo tsa firmware le bakhanni ba nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, tseo boholo ba tsona bo lekantsoeng. ka moetsi. Tokollo e ncha e hloekisa bakhanni ba liphanele tsa MIPI DBI, VPU Amphion, WiFi MediaTek MT7986 WMAC, Mediatek MT7921U (USB) le Realtek 8852a/8852c, Intel AVS le Texas Instruments TAS5805M li-sound chips. Lifaele tsa DTS le tsona li ile tsa hloekisoa bakeng sa li-Qualcomm SoCs tse fapaneng tse nang le li-processor tse ipapisitseng le meralo ea AArch64. Khoutu e ntlafalitsoeng ea ho hloekisa blob ho bakhanni le lits'ebetso tse tlase tsa AMD GPU, MediaTek MT7915, Silicon Labs WF200+ WiFi, Mellanox Spectru Ethernet, Realtek rtw8852c, Qualcomm Q6V5, Wolfson ADSP, MediaTek HCI UART.

Source: opennet.ru

Eketsa ka tlhaloso