Ho lokolloa ha kernel ea Linux 5.6

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds tsebisoa ho lokolloa ha kernel Linux 5.6. Har'a liphetoho tse hlokomelehang ka ho fetesisa: ho kopanngoa ha sehokelo sa WireGuard VPN, tšehetso ea USB4, libaka tsa mabitso bakeng sa nako, bokhoni ba ho theha batho ba sebetsanang le TCP ba sebelisa BPF, tšehetso ea pele ea MultiPath TCP, ho tlosa kernel ea bothata ba 2038, mochini oa "bootconfig" , ZoneFS.

Mofuta o mocha o kenyelletsa litokiso tse 13702 ho tsoa ho baetsi ba 1810,
boholo ba patch - 40 MB (liphetoho li amme lifaele tsa 11577, li kenyellelitse mela ea 610012 ea khoutu,
294828 mela e tlositsoe). Hoo e ka bang 45% ea bohle ba hlahisitsoeng ho 5.6
liphetoho li amana le bakhanni ba lisebelisoa, hoo e ka bang 15% ea liphetoho li
maikutlo mabapi le ho ntlafatsa khoutu e khethehileng ho meralo ea Hardware, 12%
e amanang le marang-rang a marang-rang, 4% e nang le litsamaiso tsa lifaele le 3% ka hare
li-subsystem tsa kernel.

ka sehloohong boiqapelo:

  • Sistimi e nyane ea marang-rang
    • E kentsoe ts'ebetsong ea sebopeho sa VPN WireGuard, e sebelisoang ho latela mekhoa ea morao-rao ea ho kenyelletsa (ChaCha20, Poly1305, Curve25519, BLAKE2s), e bonolo ho e sebelisa, e se nang mathata, e ipakile ka mekhoa e mengata e meholo mme e fana ka ts'ebetso e phahameng haholo (ka makhetlo a 3,9 ka potlako ho feta OpenVPN ka mantsoe. tsa tlhahiso). WireGuard e sebelisa mohopolo oa li-encryption key routing, tse kenyelletsang ho hokela senotlolo sa lekunutu ho sehokelo se seng le se seng sa marang-rang le ho se sebelisa ho tlama linotlolo tsa sechaba. Linotlolo tsa sechaba lia fapanyetsanoa ho theha khokahano ka tsela e ts'oanang le SSH. Li-primitives tsa Cryptographic tse hlokahalang hore WireGuard e sebetse E ne e le fetisoa ho tsoa laebraring zinki e le karolo ea API e tloaelehileng ea Crypto le kenyellelitsoe ka hare ho mantlha 5.5.
    • E qalile ho kopanngoa ha likarolo tse hlokahalang ho tšehetsa MPTCP (MultiPath TCP), katoloso ea protocol ea TCP bakeng sa ho hlophisa ts'ebetso ea khokahano ea TCP le ho fana ka lipakete ka nako e le 'ngoe litseleng tse' maloa ka marang-rang a fapaneng a marang-rang a amanang le liaterese tse fapaneng tsa IP. Bakeng sa lits'ebetso tsa marang-rang, khokahano e joalo e kopaneng e shebahala joalo ka khokahano ea kamehla ea TCP, 'me menahano eohle ea karohano ea phallo e etsoa ke MPTCP. Multipath TCP e ka sebelisoa ho eketsa ts'ebetso le ho eketsa ts'epo. Ka mohlala, MPTCP e ka sebelisoa ho hlophisa phetisetso ea data ho smartphone e sebelisang li-link tsa WiFi le 4G ka nako e le 'ngoe, kapa ho fokotsa litšenyehelo ka ho hokahanya seva ka ho sebelisa li-link tse ngata tse theko e tlaase ho e-na le e le' ngoe e theko e boima.
    • E kentsoe tšehetso bakeng sa taeo ea queue processing sch_ets (Khetho e Fetisitsoeng ea Phetiso, IEEE 802.1Qaz), e fanang ka bokhoni ba ho aba bandwidth lipakeng tsa lihlopha tse fapaneng tsa sephethephethe. Haeba mojaro oa sehlopha se itseng sa sephethephethe o ka tlase ho bandwidth e fanoeng, joale ETS e lumella lihlopha tse ling tsa sephethephethe ho sebelisa marang-rang a teng (a sa sebelisoeng). Qdisc sch_ets e hlophisitsoe joalo ka taeo ea PRIO mme e sebelisa litlelase tsa sephethephethe ho hlalosa meeli e thata le e arolelanoang ea bandwidth. ETS e sebetsa e le motsoako oa lithuto MOEKETSI и DRR - haeba ho na le lihlopha tse fokolang tsa sephethephethe, PRIO e sebelisoa, empa haeba ho se na sephethephethe meleng, e sebetsa joaloka DRR.
    • E kentse mofuta o mocha oa mananeo a BPF BPF_PROG_TYPE_STRUCT_OPS, e u lumellang ho kenya tšebetsong li-kernel function handlers ka BPF. Hajoale, tšobotsi ena e se e ka sebelisoa ho kenya tšebetsong li-algorithms tsa taolo ea TCP ka mokhoa oa mananeo a BPF. E le mohlala sisintsweng Lenaneo la BPF le ts'ebetsong ea algorithm DCTCP.
    • E amohetsoe bohareng fetola, lisebelisoa tsa ho fetolela ethtool ka ioctl() ho e sebelisa sehokelo sa marang-rang. Sebopeho se secha se etsa hore ho be bonolo ho eketsa li-extensions, ho ntlafatsa ho sebetsana le liphoso, ho lumella litsebiso ho romelloa ha boemo bo fetoha, bo nolofatsa tšebelisano pakeng tsa kernel le sebaka sa mosebedisi, le ho fokotsa palo ea manane a boletsoeng a lokelang ho lumellana.
    • Kenyelletso e ekelitsoeng ea algorithm ea taolo ea marang-rang ea FQ-PIE (Flow Queue PIE), e reretsoeng ho fokotsa tšusumetso e mpe ea ho phatloha ha lipakete tse mahareng ho lisebelisoa tsa marang-rang tse bohale (bufferbloat). FQ-PIE e bonts'a ts'ebetso e phahameng ha e sebelisoa lits'ebetsong tse nang le li-modem tsa cable.
  • Disk Subsystem, I/O le File Systems
    • Bakeng sa sistimi ea faele ea Btrfs eketsoe ts'ebetsong e sa lumellaneng ea ts'ebetso ea DISCARD (ho tšoaea li-blocks tse lokolotsoeng tse seng li sa hloke ho bolokoa 'meleng). Qalong, ts'ebetso ea DISCARD e ne e etsoa ka mokhoa o lumellanang, e leng se ka lebisang ho senyeha ha ts'ebetso ka lebaka la li-drive tse emetseng hore litaelo tse lumellanang li phethe. Ts'ebetsong ea Asynchronous e u lumella hore u se ke ua emela hore koloi e phethe DISCARD le ho etsa ts'ebetso ena ka morao.
    • Ho XFS e entsoe Ho hloekisa khoutu e sebelisang li-counter tsa khale tsa 32-bit (mofuta oa time_t o ile oa nkeloa sebaka ke time64_t), e leng se lebisang bothateng ba 2038. Liphoso tse tsitsitseng le bobolu ba memori bo etsahetseng sethaleng sa 32-bit. Khoutu e hlophisitsoe bocha ho sebetsa le litšobotsi tse atolositsoeng.
    • Ho tsoa ho sistimi ea faele ea ext4 tsebisitsoe Ntlafatso ea ts'ebetso e amanang le ho notlela li-inode nakong ea ts'ebetso ea ho bala le ho ngola. Ts'ebetso e ntlafalitsoeng ea ho ngola bocha ka mokhoa oa Direct I/O. Ho nolofatsa tlhahlobo ea mathata, likhoutu tsa phoso tsa pele le tsa ho qetela li bolokiloe ho superblock.
    • Sistimi ea faele ea F2FS kenngwa tshebetsong bokhoni ba ho boloka data ka foromo e petelitsoeng. Bakeng sa faele e le 'ngoe kapa directory, compression e ka nolofalloa ho sebelisoa taelo "chattr +c file" kapa "chattr +c dir; ama dir/file". Ho hatella karohano eohle, o ka sebelisa khetho ea "-o compress_extension=ext" ho sesebelisoa sa mount.
    • Kernel e kenyelletsa sistimi ea faele SebakaFS, e nolofatsang mosebetsi oa boemo bo tlaase ka lisebelisoa tsa polokelo ea libaka. Li-drive tsa Zoned li bolela lisebelisoa ho hard magnetic disks kapa NVMe SSDs, sebaka sa polokelo seo ho sona se arotsoeng ka libaka tse etsang lihlopha tsa li-blocks kapa likarolo, tseo ho tsona ho kenyelletsoang ka tatellano ea data ho lumelloang, ho ntlafatsa sehlopha sohle sa li-blocks. FS ZoneFS e ile ea hlahisoa ke Western Digital 'me e amahanya sebaka se seng le se seng sa koloi ka faele e arohaneng e ka sebelisoang ho boloka data ka mokhoa o tala ntle le ho qhekella lekaleng le ho thibela, ke hore. E lumella lits'ebetso ho sebelisa faele ea API ho fapana le ho fihlella sesebelisoa sa block ka ho sebelisa ioctl.
    • Ho NFS, ho kenya li-partitions holim'a UDP ho koaletsoe ka ho sa feleng. Tšehetso e ekelitsoeng bakeng sa bokhoni ba ho kopitsa lifaele ka ho toba pakeng tsa li-server, tse hlalositsoeng ho tlhaloso ea NFS 4.2. E kenyellelitse khetho e ncha ea "softreval", e lumellang boleng ba cached hore bo sebelisoe haeba seva se hloleha. Ka mohlala, ha u hlakisa khetho ena, ka mor'a hore seva se se ke sa fumaneha, ho ntse ho khoneha ho tsamaea litseleng tsa karolo ea NFS le boitsebiso ba ho fumana boitsebiso bo lutseng ka har'a cache.
    • E entsoe optimization ea ts'ebetso ea mochini oa fs-verity, o sebelisetsoang ho lekola bots'epehi le netefatso ea lifaele ka bomong. Ho eketsa lebelo la ho bala ka tatellano ka lebaka la tšebeliso ea sefate sa Merkle hash. Ts'ebetso ea FS_IOC_ENABLE_VERITY e ntlafalitsoe ha ho se na data ka har'a cache (ho se ho sebelisitsoe ho bala maqephe a nang le lintlha).
  • Virtualization le Tšireletso
    • Bokhoni ba ho tima mojule oa SELinux ha u ntse u sebetsa bo tlositsoe, 'me ho laolla SELinux e seng e ntse e sebetsa ho tla thibeloa nakong e tlang. Ho tima SELinux, o tla hloka ho fetisa paramente ea "selinux=0" moleng oa taelo oa kernel.
    • E kentsoe ts'ehetso ea libaka tsa mabitso bakeng sa nako (libaka tsa mabitso tsa nako), e u lumellang ho tlama boemo ba oache ea sistimi ho sets'oants'o (CLOCK_REALTIME,
      CLOCK_MONOTONIC, CLOCK_BOOTTIME), sebelisa nako ea hau ka har'a sets'oants'o, 'me, ha u fetisetsa sets'oants'o ho motho e mong, etsa bonnete ba hore lipalo tsa CLOCK_MONOTONIC le CLOCK_BOOTTIME li lula li sa fetohe (nahanela nako ka mor'a ho laela, kapa ntle le ho nahana ka ho ba boemong ba ho robala. ).

    • Letamo la ho thibela /dev/random le tlositsoe. Boitšoaro ba / dev / random bo tšoana le / dev / urandom mabapi le ho thibela ho thibela entropy ka mor'a ho qalisoa ha letamo.
    • Koko ea kernel e kenyelletsa mokhanni ea lumellang litsamaiso tsa baeti tse sebelisang VirtualBox ho beha li-directory tse romelloang kantle ho naha ke tikoloho ea moamoheli (VirtualBox Shared Folder).
    • Sehlopha sa li-patches se kenyelelitsoe tsamaisong e nyenyane ea BPF (Sesebelisoa sa BPF), ha u sebelisa mochine oa Retpoline ho itšireletsa khahlanong le litlhaselo tsa sehlopha sa Specter V2, e u lumella ho eketsa bokhoni ba ho letsetsa mananeo a BPF ha liketsahalo tse amanang le tsona li etsahala (mohlala, ho etsa hore ho khonehe ho potlakisa pitso ea basebetsi ba XDP sephutheloana sa marang-rang se fihla).
    • Mokhanni o ekelitsoeng ho ts'ehetsa TEE (Trusted Execution Environment) e hahiloeng ho li-APU tsa AMD.
  • Litšebeletso tsa memori le tsamaiso
    • BPF e ekelitse ts'ehetso bakeng sa mesebetsi ea lefats'e. Ntlafatso e ntse e etsoa e le karolo ea boikitlaetso ba ho eketsa tšehetso bakeng sa lilaeborari tsa mesebetsi e ka kenyelletsoang mananeong a BPF. Mohato o latelang e tla ba ho ts'ehetsa katoloso e matla e lumellang hore mesebetsi ea lefats'e e kenngoe, ho kenyelletsa le ho nkela mesebetsi e teng lefatšeng ka bophara ha e ntse e sebelisoa. Subsystem ea BPF e boetse e eketsa ts'ehetso bakeng sa mefuta e fapaneng ea ts'ebetso ea 'mapa (e sebelisoang ho boloka data e tsitsitseng), e ts'ehetsang ts'ebetso ka mokhoa oa batch.
    • E kentsoe Sesebelisoa sa "cpu_cooling" se u lumella ho pholisa CPU e futhumetseng ka ho e beha sebakeng se sa sebetseng nako e khuts'oane.
    • E kentse mohala oa sistimi openat2(), e fanang ka sete sa lifolakha tse eketsehileng ho fokotsa tharollo ea tsela ea faele (thibelo ea ho tšela libaka tsa lithaba, lihokelo tsa tšoantšetso, lihokelo tsa boselamose (/proc/PID/fd), "../" likarolo).
    • Bakeng sa litsamaiso tse fapaneng tse ipapisitseng le meralo e kholo.LITTLE, e kopanyang li-CPU cores tse matla le tse sa sebetseng hantle haholo ho chip e le 'ngoe, paramethara ea uclamp_min e beoa ha ho etsoa mesebetsi ea nako ea nnete (hlaha ka kernel 5.3 ho na le mokhoa oa ho boloka mojaro). Paramethara ena e etsa bonnete ba hore mosebetsi o tla beoa ke mohlophisi ho CPU ea mantlha e nang le ts'ebetso e lekaneng.
    • The kernel e lokolloa ho tloha mathata a 2038. E ile ea nkela li- handlers tsa ho qetela tse setseng, tse sebelisitseng 32-bit (signed int) type time_t bakeng sa epochal time counter, eo, ho nahanoa ka tlaleho ea 1970, e lokelang ho phalla ka 2038.
    • Ntlafatso e tsoelang pele ea sebopeho sa Asynchronous I/O io_ring, moo faneng ka tšehetso bakeng sa lits'ebetso tse ncha: IORING_OP_FALLOCATE (peeletso ea libaka tse se nang letho), IORING_OP_OPENAT,
      IORING_OP_OPENAT2,
      IORING_OP_CLOSE (ho bula le ho koala lifaele),
      IORING_OP_FILES_UPDATE (ho eketsa le ho tlosa lifaele lenaneng la phihlello kapele),
      IORING_OP_STATX (kopo ea lintlha tsa faele),
      IORING_OP_READ,
      IORING_OP_WRITE (li-analogue tse nolofalitsoeng tsa IORING_OP_READV le IORING_OP_WRITEV),
      IORING_OP_FADVISE,
      IORING_OP_MADVISE (mefuta e fapaneng ya mehala ya posix_fadvise le madvise), IORING_OP_SEND,
      IORING_OP_RECV (ho romela le ho amohela lintlha tsa marang-rang),
      IORING_OP_EPOLL_CTL (etsa tšebetso ho litlhaloso tsa faele ea epoll).

    • E kentse mohala oa sistimi pidfd_getfd(), e lumellang mokhoa oa ho khutlisa tlhaloso ea faele bakeng sa faele e bulehileng ho tsoa ts'ebetsong e 'ngoe.
    • E kentswe tshebetsong mochine oa "bootconfig", o lumellang, ho phaella ho khetho ea line ea litaelo, ho fumana litekanyo tsa kernel ka faele ea litlhophiso. Ho kenyelletsa lifaele tse joalo setšoantšong sa initramfs, sesebelisoa sa bootconfig se hlahisoa. Karolo ena e ka sebelisoa, mohlala, ho lokisa kprobes ka nako ea ho qala.
    • E sebelitsoe bocha mochini oa ho emela ho ngola le ho bala lintlha ka liphaephe tse sa boleloang ka mabitso. Phetoho e ile ea etsa hore ho khonehe ho potlakisa mesebetsi e kang ho bokana ha merero e meholo e bapileng. Leha ho le joalo, ho ntlafatsa ho ka lebisa ho boemo ba morabe oa GNU ka lebaka la kokoanyana tokollong ea 4.2.1, e neng e tsitsitse ho mofuta oa 4.3.
    • E kentse folakha ea PR_SET_IO_FLUSHER ho prctl(), e ka sebelisoang ho tšoaea lits'ebetso tse se nang memori tse sa tlamehang ho beoa meeli ha sistimi e ts'oarehile.
    • Ho ipapisitsoe le sistimi ea kabo ea memori ea ION e sebelisitsoeng ho Android, sistimi e tlase e kentsoe ts'ebetsong liqubu tsa dma-buf, e u lumellang ho laola kabo ea li-buffers tsa DMA bakeng sa ho arolelana libaka tsa memori lipakeng tsa bakhanni, lits'ebetso le lits'ebetso tse fapaneng tse fapaneng.
  • Mehaho ea thepa ea thepa
    • Ts'ehetso e ekelitsoeng bakeng sa katoloso ea E0PD, e hlahileng ho ARMv8.5 mme e lumella ts'ireletso khahlano le litlhaselo tse amanang le ts'ebetso e inahaneloang ea litaelo ho CPU. Tšireletso e thehiloeng ho E0PD e fella ka holimo ho tlase ho feta ts'ireletso ea KPTI (Kernel Page Table Isolation).
    • Bakeng sa litsamaiso tse ipapisitseng le meralo ea ARMv8.5, tšehetso bakeng sa taeo ea RNG e kentsoe, e fanang ka phihlello ea jenereithara ea linomoro tsa pseudo-random ea hardware. Ka kernel, taelo ea RNG e sebelisoa ho hlahisa entropy ha ho qalisa jenereithara ea nomoro ea pseudo-random e fanoeng ke kernel.
    • E tlositsoe tšehetso bakeng sa MPX (Memory Protection Extensions) e kentsoeng kernel 3.19 mme e o lumella ho hlophisa ho lekola lintlha ho netefatsa hore meeli ea libaka tsa memori ea hlomphuoa. Theknoloji ena e ne e sa sebelisoe haholo ho li-compilers mme e ile ea tlosoa ho GCC.
    • Bakeng sa meralo ea RISC-V, ts'ehetso ea sesebelisoa sa ho lokisa aterese ea KASan (Kernel address sanitizer) e kentsoe ts'ebetsong, e thusang ho tseba liphoso ha o sebetsa ka mohopolo.
  • Lisebelisoa
    • Tšehetso ea litlhaloso e kentsoe tšebetsong USB 4.0, e ipapisitseng le protocol ea Thunderbolt 3 mme e fana ka phepelo ea ho fihla ho 40 Gbps, ha e ntse e boloka e tsamaellana ka morao le USB 2.0 le USB 3.2. Ka papiso le Thunderbolt USB 4.0 segokanyimmediamentsi sa sebolokigolo se u lumella ho hula liprothokholo tse fapaneng holim'a thapo e le 'ngoe e nang le sehokelo Mofuta oa C, ho kenyelletsa PCIe, Display Port le USB 3.x, hammoho le ts'ebetsong ea software ea protocol, mohlala, bakeng sa ho hlophisa lihokelo tsa marang-rang pakeng tsa mabotho. Ts'ebetsong e haha ​​​​ho mokhanni oa Thunderbolt ea seng a kenyelelitsoe kernel ea Linux 'me e e fetola hore e sebetse le mabotho le lisebelisoa tse lumellanang le USB4. Liphetoho li boetse li eketsa tšehetso bakeng sa lisebelisoa tsa Thunderbolt 3 ts'ebetsong ea software ea Connection Manager, e ikarabellang bakeng sa ho theha lithanele bakeng sa ho hokahanya lisebelisoa tse ngata ka sehokelo se le seng.
    • Ka mokhanni oa amdgpu eketsoe tšehetso ea pele bakeng sa HDCP 2.x (High-bandwidth Digital Content Protection) thekenoloji ea tšireletso ea likopi. Tšehetso e ekelitsoeng bakeng sa chip ea AMD Pollock ASIC e thehiloeng ho Raven 2. E sebelisitse bokhoni ba ho tsosolosa GPU bakeng sa malapa a Renoir le Navi.
    • Mokhanni oa DRM bakeng sa likarete tsa video tsa Intel eketsoe Ts'ehetso ea DSI VDSC bakeng sa li-chips tse thehiloeng ho Ice Lake le Tiger Lake microarchitecture, LMEM mmap (memori ea lehae ea sesebelisoa) e kentsoe ts'ebetsong, parsing ea VBT (Video BIOS Table) e ntlafalitsoe, tšehetso ea HDCP 2.2 e kentsoe ts'ebetsong bakeng sa lichifi tsa Coffee Lake.
    • Mosebetsi o ile oa tsoela pele ho kopanya khoutu ea mokhanni oa amdkfd (bakeng sa li-GPU tse sa bonahaleng, joalo ka Fiji, Tonga, Polaris) le mokhanni oa amdgpu.
    • Mokhanni oa k10temp o entsoe bocha, a eketsa tšehetso bakeng sa ho bonts'a voltage le liparamente tsa hajoale bakeng sa li-CPU tsa AMD Zen, hammoho le tlhaiso-leseling e atolositsoeng ho tsoa ho lisensara tsa mocheso tse sebelisoang ho Zen le Zen 2 CPUs.
    • Ho mokhanni oa nouveau eketsoe tšehetso bakeng sa mokhoa o netefalitsoeng oa ho kenya li-firmware bakeng sa NVIDIA GPUs e ipapisitseng le Turing microarchitecture (GeForce RTX 2000), e entseng hore ho khonehe ho thusa ho potlakisa 3D bakeng sa likarete tsena (ho jarolla firmware ea semmuso ka signature ea dijithale ea NVIDIA hoa hlokahala). Ts'ehetso e ekelitsoeng bakeng sa enjine ea litšoantšo ea TU10x. Mathata a HD Audio a rarollotsoe.
    • Tšehetso e ekelitsoeng bakeng sa khatello ea data ha e fetisoa ka DisplayPort MST (Multi-Stream Transport).
    • E kentse mokhanni e mocha "athe11k»bakeng sa li-chips tse se nang mohala tsa Qualcomm tse tšehetsang 802.11ax.
      Mokhanni o ipapisitse le stack ea mac80211 mme o ts'ehetsa sebaka sa phihlello, sebaka sa mosebetsi le mekhoa ea marang-rang ea marang-rang.

    • Ka li-sysfs, phihlello ea ho baloa ha sensor ea mocheso e ka baloang e sebelisoang ho li-hard drive tsa sejoale-joale le li-SSD li fanoa.
    • E rometsoe liphetoho tse kholo ho sistimi ea molumo ea ALSA, e reretsoeng ho tlosa khoutu ea mathata a 2038 (ho qoba ho sebelisa mofuta oa 32-bit time_t ho snd_pcm_mmap_status le snd_pcm_mmap_control interfaces). Tšehetso e ekelitsoeng bakeng sa li-codec tse ncha tsa molumo
      Qualcomm WCD9340/WCD9341, Realtek RT700, RT711, RT715, RT1308, Ingenic JZ4770.

    • E kentsoe bakhanni ba liphanele tsa LCD Logic PD 28, Jimax8729d MIPI-DSI, igenic JZ4770, Sony acx424AKP, Leadtek LTK500HD1829, Xinpeng XPP055C272, AUO B116XAK01, Giant940B0 PMXNUMXPlus
      Tlhaloso: BOE NV140FHM-N49
      Satoz SAT050AT40H12R2,
      Sharp LS020B1DD01D.

    • E kentsoe tšehetso bakeng sa liboto tsa ARM le li-platform tsa Gen1 Amazon Echo (OMAP3630-based), Samsung Galaxy S III mini (GT-I8190), Allwinner Emlid Neutis, Libre Computer ALL-H3-IT, PineH64 Model B, Aibretech Amlogic GX PC,
      Armada SolidRun Clearfog GTR, NXPGateworks GW59xx,
      Sebali sa eBook sa Tolino Shine 3,
      Embedded Artists COM (i.MX7ULP), SolidRun Clearfog CX/ITX le HoneyComb (LX2160A), Google Coral Edge TPU (i.MX8MQ),
      Rockchip Radxa Dalang Carrier, Radxa Rock Pi N10, VMARC RK3399Pro SOM
      ST Ericsson HREF520, Inforce 6640, SC7180 IDP, Atmel/Microchip AM9X60 (ARM926 SoC, Kizboxmini), ST stm32mp15, AM3703/AM3715/DM3725, ST Ericsson ab8505, Unisoc SC9863comm SC7180comm SC4com SCXNUMXA. Ts'ehetso e ekelitsoeng bakeng sa molaoli oa PCIe o sebelisitsoeng ho Raspberry Pi XNUMX.

Ka nako e ts'oanang, Latin American Free Software Foundation thehoa
khetho kernel e sa lefelloeng ka ho feletseng 5.6 - Linux mahala 5.6-gnu, e hlakotsoe ka lisebelisoa tsa firmware le mokhanni tse nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, sebaka sa eona se lekanyelitsoeng ke moetsi. Tokollo e ncha e thibela ho kenya li-blob ho bakhanni ba AMD TEE, ATH11K le Mediatek SCP. Khoutu e ntlafalitsoeng ea ho hloekisa blob ho AMD PSP, li-driver tsa amdgpu le tsa nouveau le li-subsystems.

Source: opennet.ru

Eketsa ka tlhaloso