Ho lokolloa ha kernel ea Linux 5.7

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds tsebisoa ho lokolloa ha kernel Linux 5.7. Har'a liphetoho tse hlokomelehang ka ho fetesisa: ts'ebetsong e ncha ea sistimi ea faele ea exFAT, mojule oa bareudp bakeng sa ho theha lithanele tsa UDP, ts'ireletso e ipapisitseng le netefatso ea pointer bakeng sa ARM64, bokhoni ba ho hokela mananeo a BPF ho batsamaisi ba LSM, ts'ebetsong e ncha ea Curve25519, karohano- lock detector, BPF e lumellana le PREEMPT_RT, ho tlosa moeli ho boholo ba mohala oa litlhaku tse 80 ka khoutu, ho ela hloko matšoao a mocheso oa CPU ho kemiso ea mosebetsi, bokhoni ba ho sebelisa clone () ho hlahisa lits'ebetso sehlopheng se seng, tšireletso khahlanong le ho ngola. ho memori o sebelisa userfaultfd.

Mofuta o mocha o kenyelletsa litokiso tse 15033 ho tsoa ho baetsi ba 1961,
boholo ba patch - 39 MB (liphetoho li amme lifaele tsa 11590, li kenyelelitse mela ea 570560 ea khoutu,
297401 mela e tlositsoe). Hoo e ka bang 41% ea bohle ba hlahisitsoeng ho 5.7
liphetoho li amana le bakhanni ba lisebelisoa, hoo e ka bang 16% ea liphetoho li
maikutlo mabapi le ho ntlafatsa khoutu e khethehileng ho meralo ea Hardware, 13%
e amanang le marang-rang a marang-rang, 4% ho tsamaiso ea lifaele le 4% ho ea ka hare
li-subsystem tsa kernel.

ka sehloohong boiqapelo:

  • Disk Subsystem, I/O le File Systems
    • E kenyellelitse ts'ebetsong e ncha ea mokhanni oa exFAT, thehiloe e ipapisitse le "sdfat" (2.x) "sdfat" (1.2.9.x)) ea khoutu ea hajoale e ntlafalitsoeng ke Samsung bakeng sa li-smartphones tsa eona tsa Android. Mokhanni ea kentsoeng pele ho kernel o ne a ipapisitse le khoutu ea Samsung ea lefa (mofuta oa 10) mme o ne a ka ba XNUMX% ka morao ho mokhanni e mocha ts'ebetsong. A re hopoleng hore ho kenyelletsa tšehetso ea exFAT ho kernel ho ile ha khoneha kamora Microsoft e phatlalalitsoeng litlhaloso tsa sechaba le ho etsa hore litokelo tsa exFAT li fumanehe bakeng sa tšebeliso ea mahala ho Linux.
    • Btrfs e sebelisa taelo e ncha ea ioctl() - BTRFS_IOC_SNAP_DESTROY_V2, e u lumellang ho hlakola karoloana ka sekhetho sa eona. Ts'ehetso e felletseng ea li-cloning inline extents e fanoe. Palo ea libaka tsa ho hlakoloa bakeng sa ts'ebetso ea kabo bocha e ekelitsoe, e fokotsitseng ho leta nako e telele ha ho etsoa taelo ea 'balance cancel'. Boikemisetso ba li-backlink ho isa tekanyong bo potlakisitsoe (mohlala, nako ea tlhahlobo ea script e fokotsehile ho tloha hora ho isa metsotsong e mengata). E ekelitse bokhoni ba ho hokela boholo ba lifaele ho inode e 'ngoe le e' ngoe ea sefate. Morero oa ho thibela o sebelisitsoeng ha o ngolla likaroloana le ha o sa kenyelle NOCOW o hlophisitsoe bocha. Bokhoni bo ntlafetseng ba ts'ebetso ea fsync bakeng sa mekhahlelo.
    • XFS e ntlafalitse tlhahlobo ea metadata le fsck bakeng sa likarolo tse sebetsang. Ho entsoe tlhahiso ea laeborari bakeng sa ho aha bocha meaho ea btree, eo nakong e tlang e tla sebelisoa ho lokisa xfs_repair le ho kenya tšebetsong monyetla oa ho hlaphoheloa ntle le ho theola karohano.
    • Ts'ehetso ea liteko ea ho beha karohano ea swap ho SMB3 storages e kentsoe ho CIFS. E kentse tšebetsong katoloso ea POSIX ho readdir, e hlalosoang ho SMB3.1.1. Ts'ebetso e ntlafetseng ea ho ngola maqepheng a 64KB ha cache=strict mode e lumelletsoe le mefuta ea protocol 2.1+ e sebelisoa.
    • FS EXT4 e tlositsoe ho tsoa ho bmap le iopoll ho sebelisoa iomap.
    • F2FS e fana ka ts'ehetso ea boikhethelo bakeng sa khatello ea data e sebelisa algorithm ea zstd. Ka ho sa feleng, algorithm ea LZ4 e sebelisetsoa ho hatella. Tšehetso e ekelitsoeng bakeng sa taelo ea "chattr -c commit". Pontšo ea nako ea ho kenya e fanoe. E kentse ioctl F2FS_IOC_GET_COMPRESS_BLOCKS ho fumana leseli mabapi le palo ea li-blocks tse hatelitsoeng. E kentse tlhahiso ea data ea compression ka statx.
    • Sistimi ea faele ea Ceph e ekelitse bokhoni ba sebakeng sa heno ho etsa tlhahiso ea faele le ts'ebetso ea ho hlakola (unlink) ntle le ho emela karabo ho tsoa ho seva (ho sebetsa ka mokhoa oa asynchronous). Phetoho, mohlala, e ka ntlafatsa haholo ts'ebetso ha o sebelisa sesebelisoa sa rsync.
    • Bokhoni ba ho sebelisa li-virtiofs joalo ka sistimi ea faele ea boemo bo holimo bo kentsoe ho OVERLAYFS.
    • E ngotsoe bocha Tsela ea traversal code ho VFS, khoutu ea parsing ea tšoantšetso e se e hlophisitsoe bocha, 'me mount point traversal e kopantsoe.
    • Ka har'a scsi subsystem ho basebelisi ba se nang monyetla lumelloa ho phethahatsa litaelo tsa ZBC.
    • Ho dm_writecache kenngwa tshebetsong bokhoni ba ho hlakola cache butle-butle ho latela parameter ea max_age, e behang nako e telele ea bophelo ba block.
    • Ka dm_botšepehi eketsoe tšehetso bakeng sa ts'ebetso ea "lahla".
    • Ho null_blk eketsoe tšehetso bakeng sa ho fetola liphoso ho etsisa ho hlōleha nakong ea tlhahlobo.
    • E kentsoe bokhoni ba ho romella litsebiso tsa udev mabapi le liphetoho tsa boholo ba sesebelisoa.
  • Sistimi e nyane ea marang-rang
    • Netfilter e kenyelelitsoe fetola, ho potlakisa haholo ts'ebetso ea manane a lipapali tse kholo (li-nftables sets), tse hlokang ho hlahloba motsoako oa li-subnets, likoung tsa marang-rang, protocol le liaterese tsa MAC.
      Lintlafatso tsebisitsoe ho mojule oa nft_set_pipapo (PIle PAcket POlicies), o rarollang bothata ba ho tsamaisana le litaba tsa pakete e nang le maemo a fapaneng a naha a sebelisoang melaong ea ho sefa, joalo ka IP le marang-rang a marang-rang (nft_set_rbtree le nft_set_hash ho tsamaisa nako le ho bonts'a boleng ka kotloloho. ). Mofuta oa pipapo vectorized o sebelisa litaelo tsa 256-bit AVX2 ho sistimi e nang le processor ea AMD Epyc 7402 e bonts'itse keketseho ea ts'ebetso ea 420% ha ho hlahlobisisoa lirekoto tse likete tse 30 ho kenyeletsoa motsoako oa li-port-protocol. Keketseho ha ho bapisoa motsoako oa subnet le nomoro ea boema-kepe ha ho aroloa lirekoto tse 1000 e bile 87% bakeng sa IPv4 le 128% bakeng sa IPv6.

    • E kentsoe bareudp module, e u lumellang ho kenyelletsa liprothokholo tse fapaneng tsa L3, joalo ka MPLS, IP le NSH, ka har'a kotopo ea UDP.
    • Ho kopanngoa ha likarolo tsa MPTCP (MultiPath TCP), ho atolosoa ha protocol ea TCP bakeng sa ho hlophisa ts'ebetso ea khokahano ea TCP le ho fana ka lipakete ka nako e le 'ngoe litseleng tse' maloa ka li-interfaces tse fapaneng tsa marang-rang tse tlameletsoeng liaterese tse fapaneng tsa IP, li tsoetse pele.
    • E kentsoe ts'ehetso bakeng sa mekhoa ea ho potlakisa lisebelisoa bakeng sa ho kenya liforeimi tsa Ethernet ho 802.11 (Wi-Fi).
    • Ha o tsamaisa sesebelisoa ho tloha sebakeng se seng sa marang-rang ho ea ho se seng, litokelo tsa phihlello le botho ba lifaele tse tsamaellanang ho sysfs lia lokisoa.
    • E kentse bokhoni ba ho sebelisa folakha ea SO_BINDTODEVICE bakeng sa basebelisi bao e seng basebelisi.
    • Karolo ea boraro ea li-patches e amohetsoe, ho fetola sesebelisoa sa ethtool ho tloha ioctl() ho sebelisa sebopeho sa netlink. Sebopeho se secha se etsa hore ho be bonolo ho eketsa lisebelisoa, ho ntlafatsa ho sebetsana le liphoso, ho lumella litsebiso ho romelloa ha maemo a fetoha, ho nolofatsa tšebelisano pakeng tsa kernel le sebaka sa mosebedisi, le ho fokotsa palo ea manane a boletsoeng a lokelang ho lumellana.
    • E ekelitse bokhoni ba ho sebelisa li-accelerator tse khethehileng tsa hardware ho etsa ts'ebetso ea ho latedisa khokahano.
    • Ka netfilter eketsa hook bakeng sa ho hokahanya lihlopha tsa lipakete tse tsoang (egress), tse tlatselletsang hook e neng e le teng pele bakeng sa lipakete tse kenang (ingress).
  • Virtualization le Tšireletso
    • Kenyelletso ea Hardware ea netefatso ea pointer (Netefatso ea Pointer), e sebelisang litaelo tse khethehileng tsa ARM64 CPU ho itšireletsa khahlanong le litlhaselo tse sebelisang mekhoa ea ho khutlela morao-rao (ROP), eo mohlaseli a sa leke ho beha khoutu ea hae mohopolong, empa a sebetsa ka likotoana tsa litaelo tsa mochine tse seng li ntse li fumaneha lilaebraring tse laetsoeng, tse qetellang. ka taelo ea ho khutlisa taolo. Tšireletso e theohela ho sebelisa li-signature tsa dijithale ho netefatsa liaterese tsa ho khutla boemong ba kernel. Letšoao le bolokoa likarolong tse ka holimo tse sa sebelisoeng tsa pointer ka boeona. Ho fapana le ts'ebetsong ea software, popo le netefatso ea li-signature tsa dijithale li etsoa ho sebelisoa litaelo tse khethehileng tsa CPU.
    • E kentsoe bokhoni ba ho sireletsa sebaka sa memori ho ngola ho sebelisa userfaultfd () mohala oa tsamaiso, o etselitsoeng ho sebetsana le liphoso tsa maqephe (ho fihlella maqepheng a memori a sa abeloang) sebakeng sa mosebedisi. Mohopolo ke ho sebelisa userfaultfd() ka bobeli ho bona litlolo tsa phihlello ho maqephe a tšoailoeng e le a sirelelitsoeng ka mongolo le ho letsetsa motho ea ka arabang liteko tse joalo tsa ho ngola (mohlala, ho sebetsana le liphetoho nakong ea ho theha linepe tsa ts'ebetso ea ts'ebetso, naha. ho ts'oara ha u lahlela lithōle tsa memori ho disk, ho kenya ts'ebetsong mohopolo o arolelanoang, ho latela liphetoho mohopolong). Tshebetso lekanang ho sebelisa mprotect() mmoho le sesebelisoa sa lets'oao la SIGSEGV, empa e sebetsa ka mokhoa o hlakileng kapele.
    • SELinux e theotse "checkreqprot" parameter, e u lumellang ho tima licheke tsa ts'ireletso ea memori ha u sebetsana le melao (ho lumella ts'ebeliso ea libaka tsa memori tse ka phethisoang, ho sa tsotelehe melao e boletsoeng melaong). Li-symlink tsa Kernfs li lumelletsoe ho rua moelelo oa li-directory tsa bona tsa batsoali.
    • Moetso kenyellelitsoe mojule KRSI, e u lumellang ho hokela mananeo a BPF ho lihoko tsa LSM life kapa life kernel. Phetoho e u lumella ho theha li-module tsa LSM (Linux Security Module) ka mokhoa oa mananeo a BPF ho rarolla mathata a tlhahlobo le taolo e tlamang ea phihlello.
    • E entsoe E ntlafatsa ts'ebetso ea /dev/random ka ho kopanya litekanyetso tsa CRNG ho fapana le ho letsetsa litaelo tsa RNG ka bonngoe. Ts'ebetso e ntlafalitsoeng ea getrandom le /dev/random ho litsamaiso tsa ARM64 tse fanang ka litaelo tsa RNG.
    • Ts'ebetsong ea elliptic curve Curve25519 nkeloa sebaka bakeng sa khetho ho tloha laebraring HACL, bakeng sa seo fuoa bopaki ba lipalo ba netefatso ea botšepehi ba semmuso.
    • E kentsoe mokhoa oa ho tsebisa ka maqephe a memori a mahala. Ka ho sebelisa mochine ona, litsamaiso tsa baeti li ka fetisa tlhahisoleseling mabapi le maqephe a seng a sa sebelisoe ho sistimi ea moamoheli, 'me moamoheli a ka khutlisa lintlha tsa leqephe.
    • Ho vfio/pci eketsoe tšehetso bakeng sa SR-IOV (Single-Root I/O Virtualization).
  • Litšebeletso tsa memori le tsamaiso
    • Ho tloha ho litlhaku tse 80 ho isa ho tse 100 eketseha moedi wa boholo ba bolelele ba mola dingolweng tsa mohlodi. Ka nako e ts'oanang, bahlahisi ba ntse ba khothaletsoa ho lula ka har'a litlhaku tse 80 ka mola, empa sena ha e sa le moeli o thata. Ho feta moo, ho feta moeli oa boholo ba mohala joale ho tla fella ka temoso ea kaho ha feela checkpatch e tsamaisoa ka khetho ea '-strict'. Phetoho e tla etsa hore ho khonehe ho se sitise batho ba ntlafatsang ka ho qhekella ka libaka 'me u ikutloe u lokolohile haholoanyane ha u hokahanya khoutu, hammoho le e tla thibela ho robeha ha line ho feteletseng, tšoenyang kutloisiso ea khoutu le ho batla.
    • E kentsoe tšehetso bakeng sa mokhoa oa EFI o tsoakiloeng oa boot, o u lumellang ho kenya 64-bit kernel ho tloha 32-bit firmware e sebetsang ho 64-bit CPU ntle le ho sebelisa bootloader e khethehileng.
    • E lumelletsoe mokhoa oa ho khetholla le ho lokisa liloko tse arohaneng (“senotlolo se arohaneng"), e etsahalang ha o fihlella data e sa lekanyetsoang mohopolong ka lebaka la hore ha o etsa taelo ea athomo, data e tšela mela e 'meli ea cache ea CPU. Ho thibela ho joalo ho fella ka katleho e kholo ea ts'ebetso (lipotoloho tse 1000 butle ho feta ts'ebetso ea athomo ho data e oelang moleng o le mong oa cache). Ho ipapisitsoe le "split_lock_detect" parameter ea boot, kernel e ka bona linotlolo tse joalo ka fofa mme ea fana ka litemoso kapa ea romela lets'oao la SIGBUS ts'ebelisong e bakang senotlolo.
    • Sehlophisi sa mosebetsi se fana ka tlhaiso-leseling ea li-sensor tsa mocheso (Khatello ea Mocheso) le ho kenngwa tshebetsong ho nahanela overheating ha ho behoa mesebetsi. A sebelisa lipalo-palo tse fanoeng, 'musisi oa mocheso a ka fetola lebelo le phahameng la CPU ha a futhumala haholo,' me mohlophisi oa mosebetsi joale o ela hloko phokotso ea matla a komporo ka lebaka la phokotso e joalo ea makhetlo ha a hlophisa mesebetsi e lokelang ho etsoa (pele, mohlophisi o ile a arabela liphetohong. khafetsa ka tieho e itseng, ka nako e itseng ho etsa liqeto ho ipapisitsoe le menahano e matla mabapi le lisebelisoa tse teng tsa komporo).
    • Mohlophisi oa mesebetsi o kenyelletsa matšoao a sa fetoheng Track tracking, e u lumellang hore u hakanye mojaro ka nepo, ho sa tsotelehe hore na ke maqhubu a mang a ts'ebetso ea CPU. Phetoho e u lumella ho bolela esale pele ka nepo boits'oaro ba mesebetsi tlasa maemo a liphetoho tse matla ho voltage le frequency ea CPU. Mohlala, mosebetsi o jeleng 1/3 ea lisebelisoa tsa CPU ho 1000 MHz o tla ja 2/3 ea lisebelisoa ha maqhubu a theohela ho 500 MHz, e neng e kile ea theha maikutlo a fosahetseng a hore e sebetsa ka botlalo (ke hore, mesebetsi e hlahile. kholoanyane ho mohlophisi feela ka ho fokotsa khafetsa, e leng se entseng hore liqeto tse fosahetseng li etsoe ho schedutil cpufreq 'musisi).
    • Mokhanni oa Intel P-state, ea ikarabellang bakeng sa ho khetha mekhoa ea ts'ebetso, o fetotsoe ho sebelisoa boithuto.
    • Bokhoni ba ho sebelisa sistimi e nyane ea BPF ha kernel e sebetsa ka nako ea nnete (PREEMPT_RT) e kentsoe ts'ebetsong. Nakong e fetileng, ha PREEMPT_RT e ne e sebetsa, BPF e ne e hlokoa hore e koetsoe.
    • Ho kentsoe mofuta o mocha oa lenaneo la BPF - BPF_MODIFY_RETURN, e ka hokeloang tšebetsong ea kernel le ho fetola boleng bo khutlisitsoeng ke ts'ebetso ena.
    • E kentsoe monyetla Ho sebelisa mohala oa sistimi ea clone3() ho theha ts'ebetso ka har'a sehlopha se fapaneng le sehlopha sa motsoali, se lumellang ts'ebetso ea motsoali ho sebelisa lithibelo le ho nolofalletsa accounting hang kamora ho hlahisa ts'ebetso e ncha kapa khoele. Ka mohlala, mookameli oa litšebeletso a ka fana ka litšebeletso tse ncha ka ho toba ho lihlopha tse arohaneng, 'me mekhoa e mecha, ha e kenngoa ka lihlopha tse "hatselitsoeng", e tla emisoa hang-hang.
    • ho Kbuild eketsoe ts'ehetso bakeng sa phetoho ea tikoloho "LLVM=1" ho fetohela ho Clang/LLVM toolkit ha u haha ​​kernel. Litlhokahalo tsa mofuta oa binutils li phahamisitsoe (2.23).
    • Karolo ea /sys/kernel/debug/kunit/ e kentsoe ho li-debugfs ka liphetho tsa liteko tsa kunit.
    • E kentse kernel boot parameter pm_debug_messages (e ts'oanang le /sys/power/pm_debug_messages), e nolofalletsang tlhahiso ea tlhahisoleseding ea debug mabapi le ts'ebetso ea tsamaiso ea matla (e molemo ha ho rarolla mathata ka hibernation le standby mode).
    • Ho segokanyimmediamentsi sa sebolokigolo sa I/O io_ring tshehetso e ekeditsweng karolo () и khetho ea buffer ea athomo.
    • Ntlafatso ea profil ea sehlopha ka ho sebelisa "perf toolkit". Pejana, perf e ne e ka etsa mesebetsi ea profil feela sehlopheng se itseng mme e ne e sa tsebe ho tseba hore na sampole ea hajoale ke ea sehlopha sefe. perf joale e fumana tlhaiso-leseling ea sehlopha bakeng sa sampole ka 'ngoe, e u lumella ho hlahisa sehlopha se fetang se le seng le ho sebelisa ho hlopha ka
      sehlopha ka litlaleho.

    • cgroupfs, pseudo-FS bakeng sa ho laola lihlopha, e ekelitse tšehetso bakeng sa litšobotsi tse atolositsoeng (xattrs), tseo, ka mohlala, u ka sieang tlhahisoleseding e eketsehileng bakeng sa ba sebetsang sebakeng sa mosebedisi.
    • Selaoli sa memori sa sehlopha eketsale ts'ehetso bakeng sa ts'ireletso e pheta-phetoang ea "memory.low", e laolang palo e fokolang ea RAM e fanoang ho litho tsa sehlopha. Ha o kenya sehlopha sa lihlopha ka khetho ea "memory_recursiveprot", boleng ba "memory.low" bo behiloeng bakeng sa li-node tse ka tlaase bo tla ajoa ka bohona ho li-node tsohle tsa bana.
    • E kentsoe Uacce (Unified/User-space-accelerator Framework) moralo oa ho arolelana liaterese tsa sebele (SVA, Shared Virtual Addressing) pakeng tsa CPU le lisebelisoa tsa peripheral, e lumellang li-accelerator tsa hardware ho fihlella mekhoa ea data ho CPU e kholo.
  • Mehaho ea thepa ea thepa
    • Bakeng sa meralo ea ARM, bokhoni ba ho hopola lintho tse chesang bo kentsoe tšebetsong.
    • Bakeng sa meralo ea RISC-V, ts'ehetso ea ho kenya letsoho ho chesang le ho tlosoa ha li-CPU (CPU hotplug) e kentsoe. Bakeng sa 32-bit RISC-V, eBPF JIT e kentsoe tšebetsong.
    • Bokhoni ba ho sebelisa lisebelisoa tsa 32-bit ARM ho tsamaisa libaka tsa baeti ba KVM bo tlositsoe.
    • E tlositse ts'ebetsong ea "dummy" NUMA bakeng sa meralo ea s390, eo ho seng linyeoe tsa ts'ebeliso tse fumanoeng ho fihlela ntlafatso ea ts'ebetso.
    • Bakeng sa ARM64, tšehetso e ekelitsoeng bakeng sa katoloso ea AMU (Activity Monitors Unit), e hlalosoang ho ARMv8.4 le ho fana ka liballo tsa ts'ebetso tse sebelisoang ho bala lintlha tsa tokiso ea khafetsa ho sehlophisi sa mosebetsi.
  • Lisebelisoa
    • E kentsoe tšehetso bakeng sa lisebelisoa tsa vDPA tse sebelisang mocha oa phapanyetsano ea data o lumellanang le litlhaloso tsa virtio. Lisebelisoa tsa vDPA e ka ba lisebelisoa tse hokahantsoeng ka 'mele kapa lisebelisoa tse etsisoang tsa software.
    • Ka GPIO subsystem e hlahile taelo e ncha ea ioctl () bakeng sa liphetoho tsa ho beha leihlo, e u lumellang hore u tsebise ts'ebetso ka liphetoho tsa boemo ba mohala ofe kapa ofe oa GPIO. E le mohlala oa ho sebelisa taelo e ncha sisintsweng gpio-watch sesebelisoa.
    • Ho mokhanni oa i915 DRM bakeng sa likarete tsa video tsa Intel kenyellelitsoe tšehetso ea kamehla bakeng sa lichifi tsa Tigerlake ("Gen12") le ho eketsa tšehetso ea pele bakeng sa taolo ea mabone a morao a OLED. Tšehetso e ntlafetseng bakeng sa Ice Lake, Elkhart Lake, Baytrail le Haswell chips.
    • Ho mokhanni oa amdgpu eketsoe bokhoni ba ho kenya firmware ka har'a chip ea UBC bakeng sa ASIC. Ts'ehetso e ntlafalitsoeng bakeng sa lichifi tsa AMD Ryzen 4000 "Renoir". Hona joale ho na le ts'ehetso ea ho laola liphanele tsa OLED. E fane ka pontšo ea boemo ba firmware ho li-debugfs.
    • Bokhoni ba ho sebelisa OpenGL 4 litsamaisong tsa baeti bo kentsoe ho mokhanni oa DRM oa vmwgfx bakeng sa lits'ebetso tsa VMware virtualization (eo pele e neng e le OpenGL 3.3 e ne e tšehetsoa).
    • E kentse lintlha tse ncha tsa mokhanni oa DRM bakeng sa sistimi ea ponts'o ea sethala sa TI Keystone.
    • Bakhanni ba kenyellelitsoeng bakeng sa liphanele tsa LCD: Feixin K101 IM2BA02, Samsung s6e88a0-ams452ef01, Novatek NT35510, Elida KD35T133, EDT, NewEast Optoelectronics WJFH116008A, Rocktech RKDFR101D01 Frieda.
    • Ho sistimi ea taolo ea matla eketsoe tšehetso bakeng sa sethala sa Intel Jasper Lake (JSL) se thehiloeng ho Atom.
    • Tšehetso e ekelitsoeng bakeng sa laptop ea Pinebook Pro e thehiloeng ho Rockchip RK3399, Pine64 PineTab tablet le smartphone. PinePhone e thehiloe ho Allwinner A64.
    • Tšehetso e ekelitsoeng bakeng sa li-codec tse ncha tsa molumo le li-chips:
      Amlogic AIU, Amlogic T9015, Texas Instruments TLV320ADCX140, Realtek RT5682, ALC245, Broadcom BCM63XX I2S, Maxim MAX98360A, Presonus Studio 1810c, MOTU MicroBook IIc.

    • Tšehetso e ekelitsoeng bakeng sa liboto tsa ARM le li-platform Qualcomm Snapdragon 865 (SM8250), IPQ6018, NXP i.MX8M Plus, Kontron "sl28", 11 i.MX6 TechNexion Pico likhetho tsa boto, likhetho tse tharo tse ncha tsa Toradex Colibri, Samsung S7710 Galaxy Xcover 2 e thehiloeng ho ST -Ericsson u8500, DH Electronics DHCOM SoM le PDK2, Renesas M3ULCB, Hoperun HiHope, Linutronix Testbox v2, PocketBook Touch Lux 3.

Source: opennet.ru

Eketsa ka tlhaloso