Ho lokolloa ha kernel ea Linux 6.0

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds o hlahisitse tokollo ea Linux 6.0 kernel. Phetoho e kholo ea nomoro ea phetolelo ke ka mabaka a botle 'me ke mohato o hlophisitsoeng oa ho imolla boemo bo sa thabiseng ba ho bokella lintlha tse ngata letotong (Linus o ile a soasoa hore lebaka la ho fetola nomoro ea lekala ho ka etsahala hore ebe o ne a felloa ke menoana. le menoana ho bala linomoro tsa phetolelo) . Har'a liphetoho tse hlokomelehang ka ho fetesisa: ts'ehetso bakeng sa mongolo oa asynchronous buffered ho XFS, ublk block driver, optimization ea scheduler mosebetsi, mochini oa ho netefatsa ts'ebetso e nepahetseng ea kernel, ts'ehetso ea ARIA block cipher.

Mekhoa e mecha ea bohlokoa ho kernel 6.0:

  • Disk Subsystem, I/O le File Systems
    • Sistimi ea faele ea XFS e ekelitse tšehetso bakeng sa li-asynchronous buffered e ngola ka mochini oa io_uring. Liteko tsa ts'ebetso tse entsoeng ho sebelisoa lisebelisoa tsa fio (khoele e le 'ngoe, boholo ba li-block tsa 1kB, metsotsoana e 4, ho ngola ka tatellano) ho bonts'a keketseho ea ts'ebetso ea ho kenya / tlhahiso motsotsoana (IOPS) ho tloha 600k ho isa 77k, lebelo la phetisetso ea data ho tloha 209MB / s ho isa 314MB / s, le ho theoha ha latency ho tloha ho 854ns ho ea ho 9600ns (makhetlo a 120).
    • Sistimi ea faele ea Btrfs e sebelisa mofuta oa bobeli oa protocol bakeng sa taelo ea "romela", e sebelisang ts'ehetso bakeng sa metadata e eketsehileng, ho romella data ka li-blocks tse kholo (ho feta 64K) le ho fetisa litekanyo ka mokhoa o hatelitsoeng. Ts'ebetso ea ts'ebetso ea ho bala ka kotloloho e eketsehile haholo (ho fihla ho makhetlo a 3) ka lebaka la ho bala ka nako e le 'ngoe ho fihla ho likarolo tse 256. E fokolitse likhang tsa ho notlela le ho potlakisa tlhahlobo ea metadata ka ho fokotsa metadata e bolokiloeng bakeng sa likarolo tse chechisitsoeng morao.
    • Lits'ebetso tse ncha tsa ioctl EXT4_IOC_GETFSUUID le EXT4_IC_SETFSUUID li kenyellelitsoe ho sistimi ea faele ea ext4 ho fumana kapa ho seta UUID e bolokiloeng ho superblock.
    • Sistimi ea faele ea F2FS e fana ka mokhoa o tlase oa tšebeliso ea memori, e ntlafatsang ts'ebetso ho lisebelisoa tse nang le RAM e nyane mme e u lumella ho fokotsa ts'ebeliso ea mohopolo ka litšenyehelo tsa ts'ebetso e fokotsehileng.
    • Ts'ehetso e ekelitsoeng bakeng sa netefatso ea drive ea NVMe.
    • Seva ea NFSv4 e sebelisa moeli ho palo ea bareki ba sebetsang, e behiloeng e le bareki ba sebetsang ba 1024 bakeng sa gigabyte e 'ngoe le e' ngoe ea RAM tsamaisong.
    • Ts'ebetsong ea bareki ba CIFS e ntlafalitse ts'ebetso ka mokhoa oa phetisetso ea likanale tse ngata.
    • Letšoao le lecha la FAN_MARK_IGNORE le kentsoe tsamaisong e nyane ea ho latela liketsahalo ho fanotify FS ho iphapanyetsa liketsahalo tse itseng.
    • Ho Overlayfs FS, ha e behiloe ka holim'a FS e nang le 'mapa oa ID ea mosebelisi, tšehetso e nepahetseng bakeng sa manane a taolo ea phihlello e lumellanang le POSIX e fanoa.
    • E kentse mokhanni oa ublk block, e tsamaisang mohopolo o ikhethileng lehlakoreng la ts'ebetso ea morao sebakeng sa mosebelisi mme e sebelisa subsystem ea io_uring.
  • Litšebeletso tsa memori le tsamaiso
    • Likarolo tse ncha li kenyellelitsoe tsamaisong e nyane ea DAMON (Data Access MONitor), e sa lumelle feela ho beha leihlo phihlello ea ts'ebetso ho RAM ho tloha sebakeng sa mosebelisi, empa hape le ho susumetsa taolo ea mohopolo. Haholo-holo, mojule o mocha oa "LRU_SORT" o hlahisitsoe, o fanang ka ho hlophisoa bocha ha manane a LRU (e sa tsoa sebelisoa) ho eketsa bohlokoa ba maqephe a itseng a memori.
    • Bokhoni ba ho theha libaka tse ncha tsa memori bo kentsoe ts'ebetsong ho sebelisoa bokhoni ba bese ea CXL (Compute Express Link), e sebelisetsoang ho hlophisa tšebelisano ea lebelo le phahameng lipakeng tsa CPU le lisebelisoa tsa memori. CXL e u lumella ho hokahanya libaka tse ncha tsa memori tse fanoeng ke lisebelisoa tsa memori tsa kantle ebe u li sebelisa e le lisebelisoa tse ling tsa sebaka sa aterese ea 'mele ho holisa mohopolo oa phihlello o sa reroang oa sistimi (DDR) kapa memori e sa feleng (PMEM).
    • E rarollotsoe mathata a ts'ebetso ka li-processor tsa AMD Zen tse bakoang ke khoutu e kentsoeng lilemong tse 20 tse fetileng ho sebetsana le bothata ba lisebelisoa ho li-chipsets tse ling (taelo e eketsehileng ea WAIT e ile ea eketsoa ho liehisa processor hore chipset e be le nako ea ho ea boemong bo sa sebetseng). Phetoho e ile ea fella ka ho fokotsa ts'ebetso tlas'a mesebetsi e mengata e atisang ho fapanyetsana pakeng tsa libaka tse sa sebetseng le tse phathahaneng. Ka mohlala, ka mor'a ho thibela mosebetsi, kakaretso ea lintlha tsa tlhahlobo ea tbench e eketsehile ho tloha ho 32191 MB/s ho ea ho 33805 MB/s.
    • Khoutu e nang le li-heuristics e tlositsoe ho kemiso ea mesebetsi, ho netefatsa phalliso ea lits'ebetso ho ea ho li-CPU tse laetsoeng hanyane, ho nahanoa ka phaello e boletsoeng esale pele tšebelisong ea matla. Bahlahisi ba fihletse qeto ea hore heuristic e ne e se na thuso ka ho lekana le hore ho ne ho le bonolo ho e tlosa le ho falla ntle le tlhahlobo e eketsehileng neng kapa neng ha phallo e joalo e ka baka tšebeliso e tlase ea matla (mohlala, ha sepheo sa CPU se le maemong a tlase a matla). Ho thibela li-heuristics ho lebisitse ho fokotseha ha tšebeliso ea matla ha ho etsoa mesebetsi e matla, mohlala, tekong ea ho khetholla video, tšebeliso ea matla e fokotsehile ka 5.6%.
    • Kabo ea mesebetsi ho pholletsa le li-CPU cores ho litsamaiso tse kholo e ntlafalitsoe, e ntlafalitseng ts'ebetso ea mefuta e itseng ea mosebetsi.
    • Io_uring asynchronous I/O interface e fana ka folakha e ncha, IORING_RECV_MULTISHOT, e u lumellang hore u sebelise mokhoa oa ho thunngoa ka mefuta e mengata ka recv () tsamaiso ea mohala ho etsa mesebetsi e mengata ea ho bala ho tloha soketeng e le 'ngoe ea marang-rang hang-hang. io_uring e boetse e ts'ehetsa phetiso ea marang-rang ntle le buffering ea mahareng (zero-copy).
    • E kentse tšebetsong bokhoni ba ho beha mananeo a BPF a khomaretsoeng ho uprobe sebakeng sa boroko. BPF e boetse e eketsa ksym e ncha ea iterator bakeng sa ho sebetsa le litafole tsa matšoao a kernel.
    • Sehokelo sa "efivars" se sa sebetseng ho sysfs, se reretsoeng ho fihlella mefuta ea boot ea UEFI, se tlositsoe (efivarfs virtual FS e se e sebelisoa hohle ho fihlella data ea EFI).
    • Perf utility e na le litlaleho tse ncha tsa ho sekaseka likhohlano tsa senotlolo le nako e sebelisoang ke processor e etsang likarolo tsa kernel.
    • Setlhophiso sa CONFIG_CC_OPTIMIZE_FOR_PERFORMANCE_O3 se tlositsoe, se lumelletseng kernel ho hahuoa ka mokhoa oa "-O3" oa ho ntlafatsa. Hoa hlokomeloa hore liteko tse nang le mekhoa ea ho ntlafatsa li ka etsoa ka ho fetisa lifolakha nakong ea kopano ("etsa KCFLAGS = -O3"), 'me ho kenyelletsa maemo ho Kconfig ho hloka ts'ebetso e phetoang ea ts'ebetso, ho bonts'a hore "loop unrolling" e sebelisoang ka mokhoa oa "-O3" e fana. molemo ha o bapisoa le boemo ba ho ntlafatsa "-O2".
    • Khokahano ea li-debugfs e kentsoe ho fumana tlhaiso-leseling mabapi le ts'ebetso ea "memory shrinkers" ka bomong (batšoantšisi ba bitsoang ha ho se na mohopolo o lekaneng le ho paka lits'ebetso tsa data tsa kernel ho fokotsa ts'ebeliso ea bona ea mohopolo).
    • Bakeng sa meralo ea OpenRISC le LoongArch, ts'ehetso ea bese ea PCI e kengoa ts'ebetsong.
    • Bakeng sa meralo ea RISC-V, katoloso ea "Zicbom" e kentsoe ts'ebetsong ho tsamaisa lisebelisoa tse nang le DMA tse sa kopantseng cache.
  • Virtualization le Tšireletso
    • Ho kentsoe mochini oa netefatso oa RV (Runtime Verification) ho netefatsa ts'ebetso e nepahetseng lits'ebetsong tse tšepahalang haholo tse tiisang hore ha ho na liphoso. Netefatso e etsoa ka nako ea ts'ebetso ka ho hokela batshwari ho latela lintlha tse lekola tsoelo-pele ea ts'ebetso khahlano le mohlala o reriloeng esale pele oa litšupiso oa mochini o hlalosang boitšoaro bo lebelletsoeng ba sistimi. Netefatso e nang le mohlala nakong ea ho sebetsa e behiloe e le mokhoa o bobebe haholoanyane le o bonolo oa ho netefatsa ho nepahala ha ts'ebetso ho litsamaiso tsa bohlokoa, tse tlatselletsang mekhoa ea netefatso ea ts'epo. Har'a melemo ea RV ke bokhoni ba ho fana ka netefatso e tiileng ntle le ts'ebetsong e fapaneng ea tsamaiso eohle ka puo ea mohlala, hammoho le karabelo e bonolo ho liketsahalo tse sa lebelloang.
    • Likarolo tse kopaneng tsa kernel bakeng sa ho laola li-enclave tse thehiloeng ho theknoloji ea Intel SGX2 (Software Guard eXtensions), e lumellang lits'ebetso ho etsa khoutu libakeng tse ka thoko tsa memori, tseo sistimi eohle e nang le phihlello e fokolang ho tsona. Theknoloji ea Intel SGX2 e tšehetsoa ho Intel Ice Lake le Gemini Lake chips, 'me e fapana le Intel SGX1 ka litaelo tse eketsehileng bakeng sa tsamaiso e matla ea memori ea li-enclave.
    • Bakeng sa meralo ea x86, bokhoni ba ho fetisetsa peo bakeng sa jenereithara ea nomoro ea pseudorandom ka litlhophiso tsa bootloader bo kentsoe ts'ebetsong.
    • Mojule oa SafeSetID LSM joale o na le bokhoni ba ho laola liphetoho tse entsoeng ka mohala oa setgroups(). SafeSetID e lumella lits'ebeletso tsa sistimi ho laola basebelisi ka mokhoa o sireletsehileng ntle le litokelo tse ntseng li eketseha (CAP_SETUID) le ntle le ho fumana litokelo tsa metso.
    • Tšehetso e ekelitsoeng bakeng sa ARIA block cipher.
    • Mojule oa taolo ea ts'ireletso o thehiloeng ho BPF o fana ka bokhoni ba ho hokela bats'oari lits'ebetsong tsa motho ka mong le lihlopha tsa tšebetso (lihlopha).
    • Ho kentsoe mochini o nang le ts'ebetso ea ts'ebetso ea ho lebela ho bona litsamaiso tsa baeti tse ipapisitseng le ts'ebetso ea vCPU.
  • Sistimi e nyane ea marang-rang
    • Lisebelisoa tsa ho hlahisa le ho hlahloba li-cookie tsa SYN li kentsoe tsamaisong e nyane ea BPF. Ho boetse ho eketsoa ke sete ea mesebetsi (kfunc) bakeng sa ho fihlella le ho fetola boemo ba likhokahano.
    • The wireless stack e ekelitse tšehetso bakeng sa mochine oa MLO (Multi-Link Operation), o hlalositsoeng ho WiFi 7 le ho lumella lisebelisoa ho amohela le ho romela data ka nako e le 'ngoe li sebelisa lihlopha tse fapaneng tsa maqhubu le liteishene, mohlala, ho theha liteishene tse ngata tsa puisano pakeng tsa marang-rang. sebaka sa ho fihlella sesebelisoa sa moreki.
    • Ts'ebetso ea protocol ea TLS e hahiloeng ka har'a kernel e ntlafalitsoe.
    • E kentse khetho ea mohala oa taelo ea kernel "hostname=" ho lumella lebitso la moeti hore le behoe qalong ea ts'ebetso ea boot, pele likarolo tsa sebaka sa mosebelisi li qala.
  • Lisebelisoa
    • Mokhanni oa i915 (Intel) o fana ka ts'ehetso bakeng sa likarete tsa video tsa Intel Arc (DG2 / Alchemist) A750 le A770 tsa discrete. Ts'ebetso ea pele ea ts'ehetso bakeng sa Intel Ponte Vecchio (Xe-HPC) le Meteor Lake GPUs e hlahisitsoe. Mosebetsi o ntse o tsoela pele ho tšehetsa sethala sa Intel Raptor Lake.
    • Mokhanni oa amdgpu o tsoela pele ho fana ka tšehetso bakeng sa sethala sa AMD RDNA3 (RX 7000) le CDNA (Instinct).
    • Mokhanni oa Nouveau o ntlafalitse khoutu ea tšehetso bakeng sa lienjineri tsa ponts'o tsa NVIDIA nv50 GPU.
    • E kentse mokhanni o mocha oa logicvc DRM bakeng sa li-skrini tsa LogiCVC.
    • Mokhanni oa v3d (bakeng sa Broadcom Video Core GPU) o tšehetsa liboto tsa Raspberry Pi 4.
    • Tšehetso e ekelitsoeng bakeng sa Qualcomm Adreno 619 GPU ho mokhanni oa msm.
    • Tšehetso e ekelitsoeng bakeng sa ARM Mali Valhall GPU ho mokhanni oa Panfrost.
    • E kenyellelitse tšehetso ea pele bakeng sa li-processor tsa Qualcomm Snapdragon 8cx Gen3 tse sebelisoang ho lilaptop tsa Lenovo ThinkPad X13s.
    • E kenyellelitse bakhanni ba molumo bakeng sa li-platform tsa AMD Raphael (Ryzen 7000), AMD Jadeite, Intel Meteor Lake le Mediatek MT8186.
    • Ts'ehetso e ekelitsoeng bakeng sa li-accelerator tsa ho ithuta ka mochini tsa Intel Habana Gaudi 2.
    • Tšehetso e ekelitsoeng bakeng sa ARM SoC Allwinner H616, NXP i.MX93, Sunplus SP7021, Nuvoton NPCM8XX, Marvell Prestera 98DX2530, Google Chameleon v3.

Ka nako e ts'oanang, Latin American Free Software Foundation e thehile mofuta oa kernel 6.0 ea mahala - Linux-libre 6.0-gnu, e hlakotsoeng ea likarolo tsa firmware le bakhanni ba nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, tseo boholo ba tsona e leng. e lekantsoeng ke moetsi. Tokollo e ncha e sitisa ts'ebeliso ea li-blobs ho CS35L41 HD-audio driver le mokhanni oa UCSI bakeng sa li-microcontrollers tsa STM32G0. Lifaele tsa DTS tsa li-chips tsa Qualcomm le MediaTek li hloekisitsoe. Ho holofala ha li-blobs ho mokhanni oa MediaTek MT76 ho se ho sebelitsoe bocha. Khoutu e ntlafalitsoeng ea ho hloekisa blob ho AMDGPU, Adreno, Tegra VIC, Netronome NFP le li-drivers le li-subsystem tsa Habanalabs Gaudi2. E emisitse ho hloekisa mokhanni oa VXGE, e neng e tlosoa kernel.

Source: opennet.ru

Eketsa ka tlhaloso