Ho lokolloa ha kernel ea Linux 6.2

Kamora likhoeli tse peli tsa nts'etsopele, Linus Torvalds e lokolitse Linux 6.2 kernel. Liphetoho tse hlokomelehang li kenyelletsa: Khoutu ea tumello ea Copyleft-E latelang e lumelloa, ts'ebetsong ea RAID5 / 6 ho Btrfs e ntlafalitsoe, tšehetso ea puo ea mafome e 'nile ea tsoela pele ho kopanngoa, "Retbleed protection overhead" e fokotsehile, memori ea ho ngola-back throttling e kenyelitsoe,' me a Ho kentsoe mochine oa TCP. PLB (Protective Load Balancing) balancing, mokhoa o nyalisitsoeng oa ho sireletsa phallo ea ho phethahatsa taelo (FineIBT) e kentsoe, BPF e na le bokhoni ba ho hlalosa lintho tsa eona le mekhoa ea data, rv (Runtime Verification) thuso e kenyelelitsoe ho hlophisoa, tšebeliso ea matla ts'ebetsong ea liloko tsa RCU e fokotsehile.

Phetolelo e ncha e amohetse litokiso tsa 16843 ho tsoa ho bahlahisi ba 2178, boholo ba patch ke 62 MB (liphetoho tse amang lifaele tsa 14108, mela ea khoutu ea 730195 e kentsoe, mela ea 409485 e hlakotsoe). Hoo e ka bang 42% ea liphetoho tsohle tse hlahisitsoeng ho 6.2 li amana le bakhanni ba lisebelisoa, hoo e ka bang 16% ea liphetoho li amana le ho ntlafatsa khoutu e khethehileng ho meralo ea hardware, 12% e amana le stack ea marang-rang, 4% ho tsamaiso ea lifaele, le 3% ho ea ka hare. li-subsystem tsa kernel.

Mekhoa e mecha ea bohlokoa ho kernel 6.2:

  • Litšebeletso tsa memori le tsamaiso
    • E lumelloa ho kenyelletsa khoutu ea mantlha le liphetoho tse fanoeng tlas'a laesense ea Copyleft-Next 0.3.1. Laesense ea Copyleft-Next e entsoe ke e mong oa bafani ba GPLv3 mme e lumellana ka botlalo le laesense ea GPLv2, joalo ka ha ho netefalitsoe ke babuelli ba molao ba SUSE le Red Hat. Ha e bapisoa le GPLv2, laesense ea Copyleft-Next e kopane haholo ebile e bonolo ho e utloisisa (e tlositse karolo ea selelekela le ho bua ka likhohlano tse sa sebetseng), e khetha nako le ts'ebetso ea ho felisa tlolo ea molao, ka bo eona e tlosa litlhoko tsa copyleft bakeng sa motho ea sa sebetseng. lilemo tse fetang 15.

      Copyleft-Next e boetse e na le karoloana ea tumello ea theknoloji e nang le tokelo ea molao, eo, ho fapana le GPLv2, e etsang hore laesense ena e tsamaisane le laesense ea Apache 2.0. Ho netefatsa hore GPLv2 e sebetsa ka botlalo, Copyleft-Next e bolela ka ho hlaka hore mosebetsi o nkiloeng o ka ajoa tlas'a GPL hammoho le laesense ea mantlha ea Copyleft-Next.

    • Sesebelisoa sa "rv" se kenyelelitsoe, se fanang ka sebopeho sa ho sebelisana ho tloha sebakeng sa basebelisi le bahlokomeli ba RV (Runtime Verification) subsystem, e etselitsoeng ho lekola ts'ebetso e nepahetseng lits'ebetsong tse tšepahalang haholo tse tiisang ho ba sieo ha liphoso. Netefatso e etsoa ka nako ea ts'ebetso ka ho hokela bats'oari ho li-tracepoints tse lekola tsoelo-pele ea ts'ebetso khahlano le mohlala o reriloeng esale pele oa deterministic automaton o hlalosang boitšoaro bo lebelletsoeng ba sistimi.
    • Sesebelisoa sa zRAM, se lumellang ho boloka karohano ea swap mohopolong ka mokhoa o hatelitsoeng (sesebelisoa sa block se entsoe mohopolong oo swapping e etsoang ka compression), monyetla oa ho khutlisa maqephe ka mokhoa o mong oa algorithm ho fihlela boemo bo phahameng ba khatello. e kenngwa tshebetsong. Mohopolo o ka sehloohong ke ho fana ka khetho pakeng tsa li-algorithms tse 'maloa (lzo, lzo-rle, lz4, lz4hc, zstd), tse fanang ka khoebo ea tsona lipakeng tsa lebelo la khatello / decompression le boemo ba khatello, kapa maemong a ikhethileng (mohlala, ho hatella maqephe a maholo a memori).
    • "Iommufd" API e kenyellelitsoe ho laola I/O Memory-Management Unit (I/O Memory-Management Unit) ho tsoa sebakeng sa basebelisi. API e ncha e etsa hore ho khonehe ho laola litafole tsa maqephe a memori ea I / O ho sebelisa litlhaloso tsa faele.
    • BPF e fana ka bokhoni ba ho theha mefuta, ho hlalosa lintho tsa hau, ho iketsetsa sehlopha sa maemo a holimo, 'me ka mokhoa o bonolo u iketsetse libopeho tsa hau tsa data, joalo ka manane a hokahaneng. Ts'ehetso ea bpf_rcu_read_{,un}lock() liloko e kentsoe bakeng sa mananeo a boroko a BPF (BPF_F_SLEEPABLE). Ts'ehetso e kentsoeng ea ho boloka task_struct lintho. E kentse mofuta oa 'mapa oa BPF_MAP_TYPE_CGRP_STORAGE ho fana ka polokelo ea lehae bakeng sa lihlopha.
    • Bakeng sa mochine oa thibelo oa RCU (Read-copy-update), mokhoa oa boikhethelo oa "callback" oa "callback" oa kengoa ts'ebetsong, moo mehala e mengata ea callback e sebetsoang hang-hang ka batch mode ke sebali sa nako. Ts'ebeliso ea ntlafatso e reriloeng e u lumella ho fokotsa tšebeliso ea matla ho lisebelisoa tsa Android le ChromeOS ka 5-10% ka ho chechisa likopo tsa RCU nakong ea mojaro o sa sebetseng kapa o tlase oa sistimi.
    • E kentse sysctl split_lock_mitigate ho laola hore na sisteme e sebetsa joang ha e bona "splitlocks" e etsahalang ha o fihlella data e sa lekanyetsoang mohopolong ka lebaka la data e tšelang mela e 'meli ea cache ea CPU ha o etsa taelo ea atomic. Li-lock tse joalo li lebisa ho theoha ho hoholo ha ts'ebetso. Ho beha split_lock_mitigate ho 0 feela ho lemosa hore ho na le bothata, ha u ntse u e beha ho 1, ntle le ho fana ka temoso, e boetse e liehisa ts'ebetso ea ts'ebetso e entseng hore senotlolo se boloke ts'ebetso ea tsamaiso eohle.
    • Bakeng sa meralo ea PowerPC, ho hlahisitsoe ts'ebetsong e ncha ea liloko tsa qspinlock tse bonts'ang ts'ebetso e phahameng le ho rarolla mathata a ho notlela a hlahang maemong a ikhethang.
    • Khoutu e sitisang ea ho sebetsana le MSI (Melaetsa-Signed Interrupts) e hlophisitsoe bocha, e felisang mathata a bokelletsoeng a meralo le ho eketsa ts'ehetso bakeng sa ho tlamella batho ba sebetsanang le lisebelisoa tse fapaneng.
    • Bakeng sa litsamaiso tse ipapisitseng le meralo ea litaelo tsa LoongArch tse sebelisitsoeng ho li-processor tsa Loongson 3 5000 le ho kenya ts'ebetsong RISC ISA e ncha e ts'oanang le MIPS le RISC-V, ts'ehetso ea ftrace, ts'ireletso ea stack, boroko le standby e kentsoe ts'ebetsong.
    • Bokhoni ba ho abela mabitso libakeng tsa memori e arolelanoang e sa tsejoeng bo fanoe (pele, mabitso a ne a ka abeloa mohopolo oa lekunutu o sa tsejoeng o abetsoeng ts'ebetso e itseng).
    • Khetho e ncha ea mohala oa taelo ea kernel "trace_trigger" e kentsoe ho kenya trace trigger e sebelisetsoang ho tlama litaelo tse nang le maemo tse bitsoang ha ho tsoa ho etsoa (mohlala, trace_trigger="sched_switch.stacktrace if prev_state == 2").
    • Keketseho ea litlhokahalo tsa mofuta bakeng sa sephutheloana sa binutils. Kernel builds e se e hloka bonyane li-binutils 2.25.
    • Ha o bitsa exec (), o ekelitse bokhoni ba ho beha ts'ebetso sebakeng sa mabitso sa nako, moo nako e fapaneng le nako ea sistimi.
    • Boema-kepe ba ts'ebetso e eketsehileng bo qalile ho tsoa lekaleng la Rust-for-Linux le amanang le ho sebelisa Rust joalo ka puo ea bobeli bakeng sa ho nts'etsapele bakhanni le li-module tsa kernel. Ts'ehetso ea mafome e emisitsoe ka ho sa feleng 'me ha e etse hore Rust e kenyelelitsoe e le ts'ehetso e hlokahalang ea kernel. Ts'ebetso ea mantlha e fanoeng tokollong ea ho qetela e atolosoa ka tšehetso ea khoutu ea boemo bo tlase, joalo ka mofuta oa Vec le pr_debug!(), pr_cont!() le pr_alert!() macros, hammoho le "#[vtable] "procedural macro, e nolofatsang mosebetsi ka litafole tsa pointer mesebetsing. Ho eketsoa ha li-wrappers tsa boemo bo phahameng ba Rust holim'a li-kernel subsystems, tse tla u lumella ho theha bakhanni ba felletseng ho Rust, ho lebelletsoe likhatisong tse tlang.
    • Mofuta oa "char" o sebelisitsoeng kernel joale o phatlalatsoa o sa saena ka mokhoa o ikhethileng bakeng sa meralo eohle ea meralo.
    • Mokhoa oa kabo ea memori ea slab e theohileng - SLOB (slab allocator), e etselitsoeng sistimi e nang le mohopolo o fokolang. Ho khothalletsoa ho sebelisa SLUB kapa SLAB sebakeng sa SLOB tlas'a maemo a tloaelehileng. Bakeng sa lisebelisoa tse nang le mohopolo o fokolang, ho khothalletsoa ho sebelisa SLUB ka mokhoa oa SLUB_TINY.
  • Disk Subsystem, I/O le File Systems
    • Lintlafatso li entsoe ho li-Btrfs tse reretsoeng ho lokisa bothata ba "ngola hole" ts'ebetsong ea RAID 5/6 (ho leka ho tsosolosa RAID haeba ho senyeha ho etsahetse nakong ea ho ngola 'me ho ke ke ha khoneha ho utloisisa hore na ke "block" efe ea lisebelisoa tsa RAID. e ngotsoeng ka nepo, e ka lebisang ho thibela bobolu, e tsamaellanang le li-blocks tse ngotsoeng ka tlase). Ntle le moo, ts'ebetso e sa fetoheng ea ts'ebetso ea "lahla" e se e lumelletsoe ka bo eona bakeng sa li-SSD ha ho khonahala, e lumellang ts'ebetso e betere ka lebaka la ho hlophisoa hantle ha ts'ebetso ea "lahla" moleng le ho sebetsana le mokoloko ke motho ea sebetsang ka morao. Ts'ebetso e ntlafalitsoeng ea ts'ebetso ea ho romella le ho batla, hammoho le FIEMAP ioctl.
    • Likhetho tse atolositsoeng tsa ho laola ho ngola ho liehang (writeback, ho boloka bokamorao ba data e fetotsoeng) bakeng sa lisebelisoa tsa block. Maemong a mang, ka mohlala, ha u sebelisa lisebelisoa tse thibelang marang-rang kapa li-drive tsa USB, ho lieha ho ngola ho ka lebisa tšebelisong e kholo ea RAM. Mekhahlelo e mecha ea strict_limit, min_bytes, max_bytes, min_ratio_fine le max_ratio_fine e hlahisitsoe ka sysfs (/sys/class/bdi/) ho laola boitšoaro ba botsoa ba ngola le ho boloka boholo ba cache ea leqephe ka har'a meeli e itseng.
    • Sistimi ea faele ea F2FS e sebelisa ts'ebetso ea atomic replace ioctl, e u lumellang hore u ngole data faeleng e le karolo ea ts'ebetso e le 'ngoe ea athomo. F2FS e boetse e eketsa "cache" ea "block extent" ho thusa ho tseba data e sebelisoang ka mafolofolo kapa e so fihlelle nako e telele.
    • Ho FS ext4, ho hlokomeloa feela li-bug fixes.
    • Sistimi ea faele ea ntfs3 e fana ka likhetho tse 'maloa tse ncha: "nocase" ho laola litlhaku tse sa utloeng litsebeng ho lifaele le mabitso a li-directory; windows_name ho thibela ho theha mabitso a lifaele tse nang le litlhaku tse sa sebetseng bakeng sa Windows; hide_dot_files ho laola hore na lengolo le patiloeng la faele le abeloa lifaele tse qalang ka letheba.
    • Sistimi ea faele ea Squashfs e sebelisa khetho ea "threads=" mount, eo ka eona u ka bolelang palo ea likhoele ho bapisa ts'ebetso ea decompression. Li-squashfs li boetse li hlahisitse bokhoni ba ho etsa 'mapa oa li-ID tsa basebelisi ba litsamaiso tse kentsoeng, tse sebelisetsoang ho etsa' mapa lifaele ho tsoa ho mosebelisi ea itseng karohanong ea kantle ho naha ho ea ho mosebelisi e mong tsamaisong ea hajoale.
    • Ts'ebetsong e nchafalitsoeng ea Manane a Taolo ea Phihlelo ea POSIX (POSIX ACLs). Ts'ebetso e ncha e lokisa litaba tsa meralo, e etsa hore codebase e be bonolo ho e hlokomela, hape e sebelisa mefuta e sireletsehileng ea data.
    • Ts'ehetso bakeng sa algorithm ea SM4 encryption (Chinese standard GB/T 32907-2016) e kentsoe ho fscrypt subsystem, e sebelisetsoang ho koala lifaele le li-directory pepeneneng.
    • E fane ka bokhoni ba ho haha ​​​​kernel ntle le tšehetso ea NFSv2 (nakong e tlang, tšehetso ea NFSv2 e reretsoe ho tlosoa ka ho feletseng).
    • Mokhatlo oa ho lekola litokelo tsa phihlello ho lisebelisoa tsa NVMe o fetotsoe. E fuoe bokhoni ba ho bala le ho ngolla sesebelisoa sa NVMe haeba mokhoa oa ho ngola o khona ho fumana faele e khethehileng ea sesebelisoa (pele ts'ebetso e ne e tlameha ho ba le tumello ea CAP_SYS_ADMIN).
    • E tlositse mokhanni oa CD/DVD o kentsoeng ka 2016.
  • Virtualization le Tšireletso
    • E kentse mokhoa o mocha oa ho itšireletsa khahlanong le ts'oaetso ea Retbleed ho Intel le AMD CPUs e sebelisa ho latella botebo ba mohala, e sa lieheng joaloka ts'ireletso ea Retbleed e neng e le teng pele. Ho nolofalletsa mokhoa o mocha, parameter ea taelo ea kernel "retbleed=stuff" e hlahisoa.
    • Ho kentsoe mokhoa oa ts'ireletso ea phallo ea taelo ea hybrid FineIBT, o kopanyang ts'ebeliso ea litaelo tsa hardware tsa Intel IBT (Indirect Branch Tracking) le ts'ireletso ea software ea kCFI (kernel Control Flow Integrity) ho thibela tlolo ea taelo e tloaelehileng ea ts'ebetso (control flow) ka lebaka la ho sebedisa diketso tse fetolang mesupo e bolokilweng mohopolong wa ditshebetso. FineIBT e lumella ho bolaoa lekaleng le sa tobang feela tabeng ea ho qhomela ho ENDBR taelo, e behiloeng qalong ea mosebetsi. Ho phaella moo, ka papiso le mochine oa kCFI, li-hashes li hlahlojoa ka mor'a moo, li tiisa ho se fetohe ha litsupa.
    • Lithibelo li ekelitsoe ho thibela litlhaselo tse laolang moloko oa "oops" e bolela, ka mor'a moo mesebetsi e nang le mathata e phethoa 'me naha e tsosolosoa ntle le ho emisa tsamaiso. Ka palo e kholo haholo ea mehala e eang sebakeng sa "oops", "refcount" e phalla e etsahala, e lumellang ho sebelisa hampe bofokoli bo bakoang ke ho hlakola lintlha tsa NULL. E le ho sireletsa khahlanong le litlhaselo tse joalo, kernel e kentse moeli ho palo e kholo ea ts'ebetso ea "oops", ka mor'a ho feta moo kernel e tla qalisa phetoho ea "ho tšoha", e lateloa ke ho tsosolosa, e ke keng ea lumella ho fihla palo. ho pheta-pheta ho hlokahalang ho tlatsa tlaleho. Ka ho feletseng, moeli o behiloe ho "oops" tse likete tse 10, empa haeba o lakatsa, o ka fetoloa ka oops_limit parameter.
    • E kentse paramethara ea tlhophiso LEGACY_TIOCSTI le sysctl legacy_tiocsti ho tima bokhoni ba ho kenya data ho theminale ho sebelisa ioctl TIOCSTI, kaha ts'ebetso ena e ka sebelisoa ho nkela litlhaku sebakeng sa buffer ea ho kenya le ho etsisa mosebelisi.
    • Ho hlahisitsoe mofuta o mocha oa meaho e ka hare encoded_page, moo likotoana tse tlase tsa pointer li sebelisetsoang ho boloka tlhaiso-leseling e eketsehileng e sebelisetsoang ho itšireletsa khahlanong le ho khelosoa ka phoso ha pointer (haeba ho hlile hoa hlokahala, likotoana tsena tse ling li tlameha ho hlakisoa pele).
    • Sethaleng sa ARM64, sethaleng sa boot, hoa khoneha ho nolofalletsa le ho thibela ts'ebetsong ea software ea Shadow Stack mochine, o sebelisetsoang ho sireletsa khahlanong le ho hlakola aterese ea ho khutla ho tsoa mosebetsing haeba ho ka ba le buffer e phallang holim'a stack (the Tšireletso ke ho boloka aterese ea ho khutlisa sebakeng se arohaneng sa "moriti" ka mor'a hore taolo e fetisetsoe mosebetsing oa ho fumana aterese e fanoeng pele o tsoa mosebetsing). Ts'ehetso kopanong e tšoanang ea kernel bakeng sa ts'ebetso ea Hardware le software ea Shadow Stack e u lumella ho sebelisa motheo o tšoanang lits'ebetsong tse fapaneng tsa ARM, ho sa tsotelehe hore na ba tšehetsa litaelo tsa netefatso ea pointer. Ho kenyelletsa ts'ebetsong ea software ho etsoa ka ho kenya sebaka nakong ea ho kenya litaelo tse hlokahalang khoutu.
    • Ts'ehetso e ekelitsoeng bakeng sa ho sebelisa mochini oa tsebiso ea asynchronous ho tsoa ho li-processor tsa Intel, e lumellang ho lemoha litlhaselo tsa mohato o le mong ho khoutu e sebetsang ka har'a SGX enclaves.
    • Ho hlahisoa sehlopha sa ts'ebetso se lumellang hypervisor ho ts'ehetsa likopo tse tsoang ho litsamaiso tsa baeti tsa Intel TDX (Trusted Domain Extensions).
    • E tlositsoe RANDOM_TRUST_BOOTLOADER le RANDOM_TRUST_CPU kernel build setting, ho e-na le hoo sebelisa khetho e nepahetseng ea random.trust_bootloader le random.trust_cpu line line ea litaelo.
    • Tšehetso bakeng sa folakha ea LANDLOCK_ACCESS_FS_TRUNCATE e kenyelelitsoe mochine oa Landlock, e leng se u lumellang ho fokotsa ho sebelisana ha sehlopha sa mekhoa le tikoloho ea ka ntle, e leng se etsang hore ho khonehe ho laola ts'ebetso ea ts'ebetso ea ho fokotsa lifaele.
  • Sistimi e nyane ea marang-rang
    • Bakeng sa IPv6, tšehetso e kenyelelitsoe bakeng sa PLB (Protective Load Balancing), mochine oa ho leka-lekanya mojaro pakeng tsa lihokelo tsa marang-rang, tse reretsoeng ho fokotsa lintlha tsa tšubuhlellano ho li-switches tsa setsi sa data. Ka ho fetola IPv6 Flow Label, PLB e fetola ka mokhoa o sa reroang litsela tsa lipakete ho leka-lekanya mojaro boema-kepeng ba switjha. Ho fokotsa ho hlophisa bocha pakete, ts'ebetso ena e etsoa ka mor'a linako tse sa sebetseng ha ho khoneha. Tšebeliso ea PLB litsing tsa data tsa Google e fokolitse ho se leka-lekane ha mojaro ho li-switch port ka karolelano ea 60%, ho fokotsa tahlehelo ea pakete ka 33%, le ho fokotsa latency ka 20%.
    • Mokhanni o kentsoeng oa lisebelisoa tsa MediaTek Wi-Fi 7 (802.11be).
    • Tšehetso e ekelitsoeng bakeng sa lihokelo tsa 800-gigabit.
    • E kentse bokhoni ba ho renama marang-rang a marang-rang ka fofa, ntle le ho emisa mosebetsi.
    • E kentse polelo ea aterese ea IP eo pakete e fihlileng ho eona ho melaetsa ea likhohola ea SYN e ngotsoeng ho log.
    • Bakeng sa UDP, bokhoni ba ho sebelisa litafole tse arohaneng tsa li-hash bakeng sa libaka tse fapaneng tsa mabitso a marang-rang bo kentsoe tšebetsong.
    • Marokho a marang-rang a tšehetsa mokhoa oa ho netefatsa oa MAB (MAC Authentication Bypass).
    • Bakeng sa protocol ea CAN (CAN_RAW), SO_MARK socket mode e tšehetsoa bakeng sa ho hokela lihloela tsa sephethephethe ho latela fwmark.
    • ipset e na le paramethara e ncha ea bitmask e u lumellang hore u behe maske ho latela likotoana tse sa reroang atereseng ea IP (mohlala, "ipset create set1 hash:ip bitmask 255.128.255.0").
    • Tšehetso e ekelitsoeng ho nf_tables bakeng sa ho sebetsana le lihlooho tse ka hare ka har'a lipakete tse kentsoeng.
  • Lisebelisoa
    • Setsi sa "accel" se kenyellelitsoe ka ts'ebetsong ea moralo oa li-accelerator tsa k'homphieutha, tse ka fanoang ka bobeli ka mokhoa oa li-ASIC tse arohaneng le ka mokhoa oa li-block tsa IP ka hare ho SoC le GPU. Li-accelerator tsena li shebane haholo le ho potlakisa tharollo ea mathata a ho ithuta ka mochini.
    • Mokhanni oa amdgpu o kenyelletsa tšehetso bakeng sa likarolo tsa GC, PSP, SMU, le NBIO IP. Tšehetso ea DCN (Display Core Next) e kenngoa ts'ebetsong bakeng sa litsamaiso tsa ARM64. Ts'ebetsong ea tlhahiso e sireletsehileng ea skrine e tlositsoe ho tloha ho sebelisa DCN10 ho ea ho DCN21 'me joale e ka sebelisoa ha li-skrini tse ngata li kopantsoe.
    • Mokhanni oa i915 (Intel) o tsitsitse tšehetso bakeng sa likarete tsa litšoantšo tsa Intel Arc (DG2/Alchemist).
    • Mokhanni oa Nouveau o tšehetsa NVIDIA GA102 (RTX 30) GPU e ipapisitseng le meralo ea Ampere. Bakeng sa likarete tsa nva3 (GT215), bokhoni ba ho laola lebone la morao bo kentsoe.
    • Ts'ehetso e ekelitsoeng bakeng sa li-adapter tse se nang mohala tse thehiloeng ho Realtek 8852BE, Realtek 8821CU, 8822BU, 8822CU, 8723DU (USB) le li-chips tsa MediaTek MT7996, Broadcom BCM4377/4378/4387 Bluetooth interfaces, le Motorcomm GE8521 Ethernet Ethernet TerrorXNUMX.
    • E kentse tšehetso ea ASoC (ALSA System on Chip) bakeng sa lichipisi tsa molumo tse kentsoeng HP Stream 8, Advantech MICA-071, Dell SKU 0C11, Intel ALC5682I-VD, Xiaomi Redmi Book Pro 14 2022, i.MX93, Armada 38x, RK3588. Tšehetso e ekelitsoeng bakeng sa segokanyimmediamentsi sa audio sa Focusrite Saffire Pro 40. E kentse codec ea audio ea Realtek RT1318.
    • Tšehetso e ekelitsoeng bakeng sa li-smartphones le matlapa a Sony (Xperia 10 IV, 5 IV, X le X compact, OnePlus One, 3, 3T le Nord N100, Xiaomi Poco F1 le Mi6, Huawei Watch, Google Pixel 3a, Samsung Galaxy Tab 4 10.1.
    • Ts'ehetso e ekelitsoeng bakeng sa liboto tsa ARM SoC le Apple T6000 (M1 Pro), T6001 (M1 Max), T6002 (M1 Ultra), Qualcomm MSM8996 Pro (Snapdragon 821), SM6115 (Snapdragon 662), SM4250 (Snapdragon 460 (Snapdragon 6375) 695 ) , SDM670 (Snapdragon 670), MSM8976 (Snapdragon 652), MSM8956 (Snapdragon 650), RK3326 Odroid-Go/rg351, Zyxel NSA310S, InnoComm i.MX8MM, Odroid Go Ultra.

Ka nako e ts'oanang, Latin American Free Software Foundation e thehile mofuta o fapaneng oa kernel 6.2 ea mahala - Linux-libre 6.2-gnu, e hlakotsoeng ea firmware le likarolo tsa mokhanni tse nang le likarolo tse sa lefelloeng kapa likarolo tsa khoutu, tseo boholo ba tsona bo lekantsoeng ke moetsi. Tokollong e ncha, ho hloekisoa ha li-blobs tse ncha ho mokhanni oa nouveau ho entsoe. Li-blobs tse holofetseng tse kenyang li-driver tsa mt7622, ​​mt7996 wifi le bcm4377 bluetooth. Mabitso a hloekisitsoeng a blob lifaeleng tsa dts bakeng sa meralo ea Aarch64. Khoutu ea ho hloekisa blob e ntlafalitsoeng ho bakhanni ba fapaneng le lits'ebetso tse tlase. E emisitse ho hloekisa mokhanni oa s5k4ecgx ha a ntse a tlosoa kernel.

Source: opennet.ru

Eketsa ka tlhaloso