Ho lokolloa ha OpenBSD 7.0

Ho lokolloa ha sistimi ea mahala ea UNIX-joaloka OpenBSD 7.0 e hlahisoa. Ho hlokometsoe hore ena ke tokollo ea bo51 ea morero, e tla fihlela lilemo tse 18 ka la 26 Mphalane. Morero oa OpenBSD o thehiloe ke Theo de Raadt ka 1995 kamora likhohlano le bahlahisi ba NetBSD, ka lebaka leo Theo a hanetsoeng ho fumana polokelo ea NetBSD CVS. Kamora sena, Theo de Raadt le sehlopha sa batho ba nang le maikutlo a tšoanang ba thehile sistimi e ncha e bulehileng ea ts'ebetso e thehiloeng ho sefate sa mohloli oa NetBSD, sepheo sa mantlha sa nts'etsopele eo e neng e le ho ts'oaroa (li-platform tsa hardware tse 13 li tšehetsoa), maemo, ts'ebetso e nepahetseng, ts'ireletso e matla. le lisebelisoa tse kopaneng tsa li-cryptographic. Setšoantšo se felletseng sa ISO sa sistimi ea OpenBSD 7.0 ke 554 MB.

Ho phaella tsamaisong ea ts'ebetso ka boeona, morero oa OpenBSD o tsejoa ka likarolo tsa oona, tse seng li atile lits'ebetsong tse ling 'me li ipakile e le e' ngoe ea tharollo e sireletsehileng ka ho fetisisa le ea boleng bo phahameng. Har'a tsona: LibreSSL (fereko ea OpenSSL), OpenSSH, PF pakete filter, OpenBGPD le OpenOSPFD li-daemon tse tsamaisang, OpenNTPD NTP seva, OpenSMTPD mail server, text terminal multiplexer (analous to GNU screen) tmux, daemon e tsebahalitsoeng e nang le ts'ebetsong ea protocol ea IDENT, mokhoa o mong oa BSDL. Sephutheloana sa GNU groff - mandoc, protocol bakeng sa ho hlophisa litsamaiso tse mamellang liphoso CARP (Common Address Redundancy Protocol), seva e bobebe ea http, ts'ebeliso ea khokahano ea faele ea OpenRSYNC.

Lintlafatso tsa mantlha:

  • E kentse boema-kepe bakeng sa litsamaiso tsa 64-bit tse ipapisitseng le meralo ea RISC-V. Mosebetsi o tšehetsoeng hajoale ho liboto tsa HiFive Unmatched le karolo ea PolarFire SoC Icicle Kit.
  • Boema-kepe ba li-platform tsa ARM64 bo fana ka tšehetso e ntlafalitsoeng, empa e ntse e sa fella bakeng sa lisebelisoa tsa Apple tse nang le processor ea M1. Ka sebopeho sa eona sa hajoale, e ts'ehetsa ho kenya OpenBSD ho disk ea GPT mme e na le bakhanni ba USB 3, NVME, GPIO le SPMI. Ntle le M1, boema-kepe ba ARM64 bo boetse bo holisa tšehetso bakeng sa Raspberry Pi 3 Model B + le liboto tse thehiloeng ho Rockchip RK3399 SoC.
  • Bakeng sa meralo ea AMD64, moqapi oa GCC o holofalitsoe ka ho sa feleng (ho setse Clang feela). Pejana, GCC e ne e holofetse bakeng sa meralo ea meralo ea armv7 le i386.
  • Ts'ehetso ea sethala sa SGI e khaotsoe.
  • Bakeng sa li-platform tsa amd64, arm64, i386, sparc64 le powerpc64, moaho oa kernel o nang le ts'ehetso ea dt dynamic tracing system o lumelloa ka ho sa feleng. E kentse mofani oa kprobes ho bokella tlhahisoleseling mabapi le liketsahalo tsa boemo ba kernel.
  • btrace e sebelisa ts'ehetso bakeng sa basebelisi ba "<" le ">" ka har'a li-filters mme e fana ka tlhahiso ea nako e sebelisitsoeng sebakeng sa mosebelisi ha ho hlahlojoa kernel stack.
  • E kenyellelitsoe /etc/bsd.re-config configuration file, e ka sebelisoang ho lokisa kernel ka nako ea boot le ho nolofalletsa / ho tima lisebelisoa tse itseng.
  • E netefatsa ho lemoha boteng ba lisebelisoa tsa TPM 2.0 le ho phethahatsa litaelo tse nepahetseng ho kenya mokhoa oa ho robala (ho rarolla bothata ka ho tsosa ThinkPad X1 Carbon Gen 9 le ThinkPad X1 Nano laptops).
  • Ts'ebetso ea kqueue e fetoletsoe ho sebelisa li-mutexes.
  • E kentse bokhoni ba ho hlophisa boholo ba buffer bakeng sa li-sockets tsa PF_UNIX ka sysctl. Saese ya kamehla ya buffer e ekeditswe ho 8 KB.
  • Ts'ehetso e ntlafalitsoeng bakeng sa litsamaiso tsa multiprocessor (SMP). Pitso ea pmap_extract() e fetiselitsoe ho mp-safe ho hppa le litsamaiso tsa amd64. Khoutu ea ho bala litšupiso tsa lintho tse sa tsejoeng, karolo ea mohlokomeli oa mokhelo, le mesebetsi ea lseek, connect, and setrttable e nkiloe ho senotlolo sa kernel. E kentse ts'ebetsong li-buffers tsa molaetsa oa tšabo bakeng sa motheo o mong le o mong oa CPU.
  • Ts'ebetsong ea moralo oa drm (Direct Rendering Manager) o hokahantsoe le Linux kernel 5.10.65. Mokhanni oa inteldrm o ntlafalitse tšehetso bakeng sa li-chips tsa Intel tse ipapisitseng le "microarchitecture" ea Tiger Lake. Mokhanni oa amdgpu o tšehetsa Navi 12, Navi 21 "Sienna Cichlid", Arcturus GPUs le Cezanne "Green Sardine" Ryzen 5000 APUs.
  • Ts'ehetso e ekelitsoeng bakeng sa lisebelisoa tse ncha, ho kenyeletsoa Aquantia AQC111U/AQC112U USB Ethernet, Aquantia 1/2.5/5/10Gb/s PCIe Ethernet, Cadence GEM, Broadcom BCM5725, RTL8168FP/RTL8111FP/RTL8117 tšehetso e ntlafalitsoeng ea sethala sa Intelger Lake. E kentse mokhanni oa ucc bakeng sa likonopo tsa Taolo ea Bareki tsa USB HID tse sebelisang likonopo tsa ts'ebeliso, molumo le molumo.
  • Lintlafatso li entsoe ho VMM hypervisor. E kentse moeli oa 512 VCPU ka mochini o mong le o mong. Mathata a ho thibela VCPU a rarollotsoe. Karolo e ka morao ea ho tsamaisa mechini e sebetsang ea vmd hona joale e kenyelletsa ts'ehetso ea ts'ireletso khahlano le lits'ebetso tsa baeti tse nang le bakhanni ba kotsi ba virtio.
  • Ts'ebeliso ea nako ea nako e tlositsoe ho NetBSD, e u lumella ho fokotsa nako ea ts'ebetso ea litaelo.
  • Sesebelisoa sa ho hokahanya faele ea openrsync se sebelisa likhetho tsa "kenyelletsa" le "ho se kenye".
  • Ts'ebeliso ea ps e fana ka tlhahisoleseling mabapi le lihlopha tse amanang.
  • Taelo ea "dired-jump" e kentsoe ho mg text editor.
  • Lisebelisoa tsa fdisk le newfs li ntlafalitse tšehetso ea li-disk tse nang le boholo ba likarolo tsa 4K. Ho fdisk, khoutu ea ho qala ea MBR/GPT e se e sebelitsoe bocha 'me ho ananeloa likarolo tsa GPT "BIOS Boot", "APFS", "APFS ISC", "APFS Recovry" (sic), "HiFive FSBL" le "HiFive BBL" e se e entsoe. eketsoe. E kenyellelitsoe "-A" khetho ea ho qala GPT ntle le ho tlosa li-partitions tsa boot.
  • Ho potlakisa mosebetsi, ts'ebeliso ea traceroute e sebelisa ts'ebetso ea lipakete tsa liteko le likopo tsa DNS ka mokhoa oa asynchronous.
  • Sesebelisoa sa doas se fana ka liteko tse tharo tsa ho kenya phasewete.
  • xterm e fana ka karohano ea phihlello ea sistimi e sebelisang mohala oa unveil() system. lits'ebetso tsa ftpd li sirelelitsoe ka mohala oa boitlamo.
  • Sephetho se kentsoeng lethathamong la tlhahisoleseling mabapi le tšebeliso e fosahetseng ea paramethara ea ho fometa "%n" mosebetsing oa printf.
  • Ts'ebetsong ea IPsec ho iked e eketsa tšehetso bakeng sa tlhophiso ea DNS ea lehlakore la bareki.
  • Ho snmpd, ts'ehetso ea liprothokholo tsa SNMPv1 le SNMPv2c e emisoa ka ho sa feleng molemong oa ho sebelisa SNMPv3.
  • Ka nako e sa lekanyetsoang, mekhoa ea dhcpleased le resolvd e ea lumelloa, e fana ka bokhoni ba ho lokisa liaterese tsa IPv4 ka DHCP. Ts'ebeliso ea dhclient e sala ho sistimi e le khetho. Taelo ea "nameserver" e kenyelelitsoe ho sesebelisoa sa tsela ho fetisetsa tlhahisoleseling mabapi le seva sa DNS ho resolvd.
  • LibreSSL e ekelitse tšehetso bakeng sa TLSv3 API OpenSSL 1.1.1 mme e nolofalitse sebali se secha sa X.509 se tšehetsang netefatso e nepahetseng ea litifikeiti tse saenneng.
  • OpenSMTPD e eketsa tšehetso bakeng sa likhetho tsa TLS "cafile=(tsela)", "nosni", "noverify" le "servername=(lebitso)". smtp e u lumella ho khetha likhetho tsa TLS cipher le protocol.
  • Sephutheloana se ntlafalitsoeng sa OpenSSH. Tlhaloso e qaqileng ea lintlafatso e ka fumanoa mona: OpenSSH 8.7, OpenSSH 8.8. Tšehetso ea li-signature tsa rsa-sha tsa digital e koetsoe.
  • Palo ea likou bakeng sa meralo ea AMD64 e ne e le 11325, bakeng sa aarch64 - 11034, bakeng sa i386 - 10248. Har'a liphetolelo tsa kopo likoung: FFmpeg 4.4 GCC 8.4.0 le 11.2.0 GNOME 40.4 Go 1.17u. 8 KDE Applications 302 KDE Frameworks 11.0.12 LLVM/Clang 16.0.2 LibreOffice 21.08.1 Lua 5.85.0, 11.1.0 le 7.2.1.2 MariaDB 5.1.5 Node.js 5.2.4 PHP5.3.6. 10.6.4 le 12.22.6 .7.3.30 Postfix 7.4.23 PostgreSQL 8.0.10 Python 3.5.12, 13.4 le 2.7.18 Qt 3.8.12 le 3.9.7 Ruby 5.15.2, 6.0.4 le 2.6.8 Rust SQL. 2.7.4 Xfce 3.0.2
  • Likarolo tse ntlafalitsoeng tsa mokha oa boraro tse kenyellelitsoeng le OpenBSD 7.0:
    • Xenocara Grafiken stack e thehiloeng X.Org 7.7 le xserver 1.20.13 + patches, freetype 2.10.4, fontconfig 2.12.4, Mesa 21.1.8, xterm 367, xkeyboard-config 2.20, fonttosfnt 1.2.2.
    • LLVM/Clang 11.1.0 (+ li-patches)
    • GCC 4.2.1 (+ li-patches) le 3.3.6 (+ li-patches)
    • Perl 5.32.1 (+ li-patches)
    • NSD 4.3.7
    • E sa lekanyetsoang 1.13.3
    • Baoki 5.7
    • Binutils 2.17 (+ li-patches)
    • Gdb 6.3 (+ patch)
    • Ak 18.12.2020
    • Expat 2.4.1

Source: opennet.ru

Eketsa ka tlhaloso