Phatlalatso ea Laeborari ea Tsamaiso ea Glibc 2.35

Ka mor'a likhoeli tse tšeletseng tsa tsoelo-pele, laebrari ea tsamaiso ea GNU C (glibc) 2.35 e lokolotsoe, e lumellanang ka botlalo le litlhoko tsa litekanyetso tsa ISO C11 le POSIX.1-2017. Phallo e ncha e kenyelletsa litokiso tse tsoang ho baetsi ba 66.

Tse ling tsa lintlafatso tse kentsoeng tšebetsong ho Glibc 2.35 li kenyelletsa:

  • Tšehetso e ekelitsoeng bakeng sa sebaka sa "C.UTF-8", se kenyelletsang melao ea ho kopanya bakeng sa likhoutu tsohle tsa Unicode, empa ho boloka sebaka ho lekanyelitsoe ho sebelisoa ha mekhahlelo ea ASCII mesebetsing ea fnmatch, regexec le regcomp. Sebaka sena se ka ba 400 KB, eo 346 KB e leng data ea LC_CTYPE bakeng sa Unicode, 'me e hloka ho kenngoa ka thōko (e sa hahoa ka Glibc).
  • Lintlha tsa khouto, lintlha tsa mofuta oa libapali, le litafole tsa liphetolelo li ntlafalitsoe ho tšehetsa Unicode 14.0.0.
  • <zibalo fMxfmafNx. Mesebetsi e hlalosoa ka litlhaloso TS 18661-1: 2014, TS 18661-3: 2015 mme e kenyelelitsoe ho moralo oa maemo a tlang a ISO C2X C.
  • le kenya tshebetsong mesebetsi le macros bakeng sa ho fumana bonyane le boholo ba ho phaphamala, nako e telele habeli, _FloatN le _FloatNx linomoro tsa lintlha tse phaphametseng, tse hlalositsoeng ho IEEE 754-2019 mme li kenyelelitsoe ho moralo oa bokamoso. Tekanyetso ea ISO C2X: fmaximum, fmaximum_num, fmaximum_mag, fminimum_mag_num, fminimum, fminimum_num, fminimum_mag, fminimum_mag_num.
  • E kentse lintlha tse sa fetoheng bakeng sa linomoro tsa lintlha tse phaphametseng tse nepahetseng ho : M_Ef, M_LOG2Ef, M_LOG10Ef, M_LN2f, M_LN10f, M_PIf, M_PI_2f, M_PI_4f, M_1_PIf, M_2_PIf, M_2_fQfQfSRT_SQ2_SQ1_MRT2
  • Bakeng sa mesebetsi ea exp10, li-macros tse tsamaellanang tse sa tlamelloang ho mefuta e itseng li kentsoe faeleng ea sehlooho .
  • E kentse _PRINTF_NAN_LEN_MAX macro e hlahisitsoeng molemong oa ISO C2X standard ho .
  • E kentse "%b" le "%B" li-specifiers tsa fomete ho lelapa la printf la mesebetsi ho hatisa palo e felletseng ea boemeli ba binary.
  • Sistimi e matla ea ho hokahanya e sebelisa algorithm e ncha ea ho hlopha ea DSO e sebelisang lipatlisiso tsa botebo-pele (DFS) ho rarolla mathata a ts'ebetso ha o sebetsana le ho its'etleha ka looped. Ho khetha algorithm ea ho hlopha DSO, ho hlahisoa parameter ea glibc.rtld.dynamic_sort, e ka hlophisoang ho "1" ho khutlela ho algorithm ea khale.
  • ABI e kentse ts'ehetso bakeng sa ts'ebetso e ncha '__memcmpeq', e sebelisoang ke bahlophisi ho ntlafatsa tšebeliso ea 'memcmp' haeba boleng bo khutliselitsoeng ke mosebetsi ona bo sebelisoa feela ho hlahloba boemo ba ho phethoa ha ts'ebetso.
  • Ts'ehetso e ekelitsoeng bakeng sa ho ngolisa likhoele ka bo eona ho sebelisa mohala oa sistimi ea rseq (restartable) e fanoeng ho tloha Linux kernel 4.18. Mohala oa tsamaiso ea rseq o u lumella ho hlophisa ts'ebetso e tsoelang pele ea sehlopha sa litaelo tse sa sitisoeng le ho tiisa sephetho ka taelo ea ho qetela sehlopheng. Ha e le hantle, e fana ka mokhoa oa ts'ebetso ea athomo e potlakileng haholo eo, ha e sitisoa ke khoele e 'ngoe, e hloekisoang ebe e lekoa hape.
  • E kentse symlink /usr/bin/ld.so.
  • Kopano ea kamehla ea lifaele tsohle tse ka phethoang tsa mananeo a kentsoeng le tlhahlobo e behiloeng ho PIE (mode e ikemetseng e ka phethisoang) e fanoe. Ho thibela boits'oaro bona, khetho ea "-disable-default-pie" e fanoa.
  • Bakeng sa Linux, glibc.malloc.hugetlb e kentse litlhophiso ho lumella ts'ebetso ea malloc hore e fetoloe ho sebelisa mohala oa sistimi ea madvise e nang le folakha ea MADV_HUGEPAGE bakeng sa mmap le sbrk, kapa ho sebelisa maqephe a maholo a mohopolo ka ho hlakisa folakha ea MAP_HUGETLB ho mmap. mehala. Boemong ba pele, phaello ea ts'ebetso e ka finyelloa haeba Maqephe a Transparent Huge a sebelisoa ka mokhoa oa madvise, 'me tabeng ea bobeli, ho fanoa ka bokhoni ba ho sebelisa Maqephe a maholo a bolokiloeng.
  • E kenyellelitsoe _dl_find_object e ka sebelisoang ho kenyelletsa tlhahisoleseling ea ho hlakola mohala.
  • Tšehetso e ekelitsoeng bakeng sa meralo ea OpenRISC (or1k-linux-gnu) ka mokhoa o bonolo oa ho phaphamala. Boema-kepe bo hloka li-binutils 2.35, GCC 11, le Linux kernel 5.4.
  • E kentse folakha ea "-with-rtld-early-cflags", e ka sebelisoang ho hlakisa lifolakha tse eketsehileng tse sebelisoang ha ho etsoa khoutu ea pele bakeng sa khokahanyo e matla.
  • Bakeng sa sethala sa Linux, mosebetsi oa epoll_pwait2 o kentsoe, o fapaneng le epoll_wait ka ho hlakisa nako ea nako ka ho nepahala ha nanosecond.
  • E kenyelelitsoe posix_spawn_file_actions_addtcsetpgrp_np ho felisa maemo a morabe ha ho behoa setsi sa taolo bakeng sa ts'ebetso e ncha.
  • Bakeng sa lits'ebetso tse kopantsoeng le Glibc le GCC 12+, "_FORTIFY_SOURCE=3" mokhoa oa ts'ireletso o kengoa ts'ebetsong, o lemohang hore buffer e tlokoma ha ho etsoa mesebetsi ea likhoele e hlalositsoeng faeleng ea hlooho ea string.h. Phapang ho tloha ho "_FORTIFY_SOURCE=2" mokhoa o theohela ho licheke tse eketsehileng, tse ka 'nang tsa lebisa ho fokotseng tshebetso.
  • Tšehetso bakeng sa Intel MPX (Memory Protection Extensions), e sebelisetsoang ho hlahloba litsupa bakeng sa meeli ea memori, e khaotsoe (theknoloji ena ha e e-s'o ata 'me e se e tlositsoe ho GCC le LLVM).
  • Mochine oa sehokelo le mefuta e amanang le ona LD_TRACE_PRELINKING le LD_USE_LOAD_BIAS ha e sa sebetsa, 'me e tla tlosoa tokollong e tlang.

    Bofokoli bo tsitsitse:

    • CVE-2022-23218, CVE-2022-23219 - Buffer e phalla ka har'a svcunix_create le clnt_create mesebetsi, e bakoang ke ho kopitsa likahare tsa parameter ea filename holim'a stack ntle le ho hlahloba boholo ba data e kopilitsoeng. Bakeng sa lits'ebetso tse hahiloeng ntle le ts'ireletso ea stack le ho sebelisa protocol ea "unix", ho ba kotsing ho ka lebisa ts'ebetsong ea khoutu ea bahlaseli ha ho sebetsoa mabitso a lifaele tse telele haholo.
    • CVE-2021-3998 e ka ba tlokotsing tšebetsong ea realpath() ka lebaka la ho khutlisa, tlas'a maemo a itseng, ea boleng bo fosahetseng bo nang le data e sa hloekang ea masala a tsoang ho stack. Bakeng sa lenaneo la SUID-root fusermount, ho ba kotsing ho ka sebelisoa ho fumana lintlha tse tebileng ho tsoa mohopolong oa ts'ebetso, mohlala, ho fumana leseli mabapi le lintlha.
    • CVE-2021-3999 - Single-byte buffer e tletse ts'ebetsong ea getcwd(). Bothata bo bakoa ke kokoanyana e bileng teng ho tloha ka 1995. Ho baka ho tlala, letsetsa chdir() ho "/" directory sebakeng se arohaneng sa sebaka sa mabitso.

    Source: opennet.ru

Eketsa ka tlhaloso