sehlooho: Blog

Hlooho ea AMD e hlakisa bokamoso ba li-processor tsa Ryzen Threadripper

Mathoasong a Mots'eanong, pherekano e 'ngoe har'a barekisi ba lihlahisoa tsa AMD e bakiloe ke ho nyamela ha tlhahiso ea batseteli ea ho buuoa ka li-processor tsa Ryzen Threadripper tsa moloko oa boraro, tse neng li ka latela beng ka li-desktop tsa lelapa la Ryzen 3000 (Matisse), fetohela ho theknoloji ea 7-nm, meralo ea Zen 2 e nang le molumo o eketsehileng oa cache le ho eketsa tlhahiso e khethehileng potolohong e 'ngoe le e 'ngoe, hammoho le […]

Ho lokolloa ha tsamaiso ea sephutheloana ea Flatpak 1.4.0 e ikemetseng

Lekala le lecha le tsitsitseng la Flatpak 1.4 toolkit le hatisitsoe, le fanang ka mokhoa oa ho haha ​​​​liphutheloana tse ikemetseng tse sa tlamelloang ho li-distributions tse khethehileng tsa Linux le ho tsamaisoa ka setshelo se khethehileng se arolang kopo ho tsoa ho tsamaiso eohle. Ts'ehetso ea ho tsamaisa liphutheloana tsa Flatpak e fanoa bakeng sa Arch Linux, CentOS, Debian, Fedora, Gentoo, Mageia, Linux Mint le Ubuntu. Liphutheloana tsa Flatpak li kenyellelitsoe sebakeng sa polokelo ea Fedora 'me lia tšehetsoa [...]

Nissan SAM: ha bohlale ba autopilot bo sa lekana

Nissan e hlahisitse sethala sa eona se tsoetseng pele sa Seamless Autonomous Mobility (SAM), se ikemiselitseng ho thusa likoloi tsa liroboto ho tsamaea maemong a sa lebelloang ka mokhoa o sireletsehileng le ka nepo. Litsamaiso tsa ho khanna li sebelisa li-lidar, li-radar, lik'hamera le li-sensor tse fapaneng ho fumana lintlha tse felletseng mabapi le boemo ba tseleng. Leha ho le joalo, tlhahisoleseling ena e kanna ea se lekane ho etsa qeto e nang le tsebo ka nako e sa lebelloang […]

Re ntlafatsa baqapi ba k'hamphani: ho tloha ho ba banyenyane ho isa ho motsamaisi oa bonono

Phetolelo ea mahala ea puo ea Alexander Kovalsky ho tloha nakong e fetileng ea QIWI Kitchens bakeng sa baqapi Bophelo ba li-studio tsa khale tsa meralo bo qala ka mokhoa o batlang o tšoana: baqapi ba 'maloa ba etsa merero e batlang e tšoana, ho bolelang hore tsebo ea bona e batla e tšoana. Ntho e ngoe le e ngoe e bonolo mona - e mong o qala ho ithuta ho e mong, ba fapanyetsana boiphihlelo le tsebo, ba etsa merero e fapaneng hammoho mme ba […]

Re sebetsa joang ka mehopolo le hore na LANBIX e hlahile joang

Ho na le basebetsi ba bangata ba boqapi ho LANIT-Integration. Maikutlo a lihlahisoa le merero e mecha e ntse e leketlile moeeng. Ka linako tse ling ho ka ba thata haholo ho khetholla tse thahasellisang ka ho fetisisa. Ka hona, hammoho re ile ra iketsetsa mokhoa oa rona. Bala sengoloa sena mabapi le mokhoa oa ho khetha merero e metle le ho e sebelisa. Naheng ea Russia, le lefats'eng ka kakaretso, ho ntse ho etsoa mekhoa e mengata e lebisang phetohong ea 'maraka oa IT. […]

Seboka sa Linux Piter 2019: Litekete le CFP Sales Open Open

Seboka sa selemo le selemo sa Linux Piter se tla tšoaroa lekhetlo la bohlano ka 2019. Joalo ka lilemong tse fetileng, kopano e tla ba kopano ea matsatsi a mabeli e nang le melaetsa e tšoanang ea 2. Joalo ka mehla, lihlooho tse fapaneng tse amanang le ts'ebetso ea sistimi ea ts'ebetso ea Linux, joalo ka: Storage, Cloud, Embeded, Network, Virtualization, IoT, Open Source, Mobile, Linux mathata le lisebelisoa, Linux devOps le lits'ebetso tsa nts'etsopele le [ …]

AMD e hlalositse ha phetoho ea PCI Express 4.0 e tla fana ka melemo e makatsang ea ts'ebetso

Kamora ho hlahisa karete ea video ea Radeon VII qetellong ea mariha, e ipapisitse le processor ea graphics ea 7-nm e nang le meralo ea Vega, AMD ha ea ka ea fana ka ts'ehetso bakeng sa PCI Express 4.0, leha li-accelerator tsa komporo tsa Radeon Instinct li ne li le teng pele. kenngwa tshebetsong tshehetso bakeng sa segokanyimmediamentsi sa sebolokigolo. Tabeng ea lihlahisoa tse ncha tsa Phupu, tseo batsamaisi ba AMD ba seng ba li thathamisitse hoseng hona, tšehetso […]

AMD e hlahisitse li-processor tsa Ryzen 3000: li-cores tse 12 le ho fihla ho 4,6 GHz ka $500.

Kajeno ha ho buloa Computex 2019, AMD e hlahisitse li-processor tsa Ryzen (Matisse) tseo e leng khale li letetsoe ka nako e telele tsa 7nm. Lenane la lihlahisoa tse ncha tse thehiloeng ho microarchitecture ea Zen 2 li kenyelletsa mefuta e mehlano ea li-processor, ho tloha ho $ 200 le tse tšeletseng tsa mantlha tsa Ryzen 5 ho ea ho $ 500 Ryzen 9 chips e nang le li-cores tse leshome le metso e 'meli. Ho rekisoa ha lihlahisoa tse ncha, joalo ka ha ho ne ho lebelletsoe pele, ho tla qala ka la 7 Phupu […]

Ho lokolloa ha lighttpd 1.4.54 http seva e nang le URL e tloaelehileng e lumelletsoeng

Ho lokolloa ha bobebe http server lighttpd 1.4.54 e hatisitsoe. Phetolelo e ncha e na le liphetoho tse 149, haholo-holo kenyeletso ea ho tloaeleha ha URL ka boiketsetso, rework ea mod_webdav, le mosebetsi oa ho ntlafatsa ts'ebetso. Ho qala ka lighttpd 1.4.54, boitšoaro ba seva bo amanang le ho tloaeleha ha URL ha ho sebetsa likopo tsa HTTP ho fetotsoe. Likhetho tsa ho lekola ka tieo boleng ho sehlooho sa Host li kentsoe tšebetsong, 'me ho tloaeleha ha phetisetso […]

Kamoo Setsebi sa DevOps se Ileng sa Etsa Mohlaseluoa oa Boiketsetso

Hlokomela Trans.: Poso e tsebahalang haholo ho /r/DevOps subreddit khoeling e fetileng e ne e lokeloa ho eloa hloko: "Automation e nketse sebaka ka molao mosebetsing - sefi bakeng sa DevOps." Mongoli oa eona (ea tsoang USA) o ile a pheta pale ea hae, e ileng ea phelisa maele a tloaelehileng a hore automation e tla bolaea tlhokahalo ea ba bolokang lisebelisoa tsa software. Tlhaloso ea Urban Dictionary bakeng sa tse seng li ntse li […]

Mini touch switch e nang le phanele ea khalase ho nRF52832

Sehloohong sa kajeno ke batla ho arolelana le uena morero o mocha. Lekhetlong lena ke sesebelisoa sa ho ama se nang le phanele ea khalase. Sesebelisoa se kopane, se lekanya 42x42mm (liphanele tse tloaelehileng tsa khalase li na le boholo ba 80x80mm). Histori ea sesebelisoa sena e qalile khale, hoo e ka bang selemo se fetileng. Likhetho tsa pele li ne li le ho microcontroller ea atmega328, empa qetellong tsohle li ile tsa fela ka nRF52832 microcontroller. Karolo ea ho ama ea sesebelisoa e sebetsa ho li-chips tsa TTP223. […]

TSMC e phatlalalitse tlhahiso e kholo ea lichifi tsa A13 le Kirin 985 e sebelisa theknoloji ea 7nm+

Moetsi oa semiconductor oa Taiwan oa TSMC o phatlalalitse tlhahiso ea bongata ba litsamaiso tsa chip e le 'ngoe ho sebelisa 7-nm + ts'ebetso ea mahlale. Ke habohlokoa ho hlokomela hore morekisi o hlahisa lichifi ka lekhetlo la pele a sebelisa lithography ka har'a mefuta e thata ea ultraviolet (EUV), kahoo a nka mohato o mong oa ho hlōlisana le Intel le Samsung. TSMC e ntse e tsoela pele tšebelisano ea eona le Huawei ea Chaena, e tsebisang tlhahiso ea lisebelisoa tse ncha tsa chip […]