HAL - IDE pikeun rékayasa sabalikna tina sirkuit éléktronik digital

diterbitkeun release proyék HAL 2.0 (Hardware Analyzer), nu ngembangkeun hiji lingkungan terpadu pikeun nganalisis netlists (netlist) sirkuit éléktronik digital. Sistim nu geus dimekarkeun ku sababaraha universitas Jerman, ditulis dina C ++, Qt na Python, jeung dibekelan handapeun lisénsi MIT.

HAL ngidinan Anjeun pikeun nempo jeung nganalisis schema dina GUI jeung ngamanipulasi eta ngagunakeun Aksara Python. Dina naskah, anjeun tiasa nganggo "perpustakaan standar" anu kalebet fungsi anu ngalaksanakeun operasi téori grafik mangpaat pikeun rékayasa ngabalikkeun sirkuit éléktronik digital (ngagunakeun fungsi ieu, anjeun tiasa sacara heuristik ngadeteksi sababaraha pola desain sareng ngahapus obfuscations saderhana sareng naskah dina sababaraha garis) . Perpustakaan ogé kalebet kelas pikeun manajemén proyék di IDE, anu tiasa dianggo nalika ngembangkeun plugins pikeun nganalisa sareng mariksa sambungan. Parsers disayogikeun pikeun basa déskripsi hardware VHDL sareng Verilog.

HAL - IDE pikeun rékayasa sabalikna tina sirkuit éléktronik digital

sumber: opennet.ru

Tambahkeun komentar