Majadiliano: Mradi wa OpenROAD unanuia kutatua tatizo la uundaji otomatiki wa muundo wa kichakataji

Majadiliano: Mradi wa OpenROAD unanuia kutatua tatizo la uundaji otomatiki wa muundo wa kichakataji
Picha - Pexels - CC BY

Cha kupewa PWC, soko la teknolojia ya semiconductor linakua - mwaka jana lilifikia dola bilioni 481. Lakini kiwango cha ukuaji wake hivi karibuni ilipungua. Sababu za kushuka ni pamoja na kuchanganya michakato ya muundo wa kifaa na ukosefu wa otomatiki.

Miaka michache iliyopita, wahandisi kutoka Intel писалиkwamba wakati wa kuunda microprocessor yenye utendaji wa juu lazima utumie zana tofauti za programu 100-150 (NA KUTOKA) Hali inaweza kuwa mbaya zaidi katika kesi ya vifaa tofauti, usanifu wa ambayo ni pamoja na aina mbalimbali za chips - ASIC, FPGA, CPU au GPU. Matokeo yake, makosa ya kubuni hutokea ambayo huchelewesha kutolewa kwa bidhaa.

Licha ya idadi kubwa ya zana za msaidizi, wahandisi bado wanalazimika kufanya kazi fulani kwa mikono. Waandishi wa kitabu "Mchanganyiko wa Mantiki ya hali ya juu"wanasema kwamba wakati mwingine wabunifu lazima andika hati katika Skill au Python ya mistari milioni mbili kuunda maktaba nayo seli.

Hati pia zimeandikwa ili kuchanganua ripoti zinazotolewa na mifumo ya EDA. Wakati wa kutengeneza chip kwa kutumia teknolojia ya mchakato wa 22nm, ripoti hizi zinaweza kuchukua hadi terabaiti 30.

DARPA iliamua kurekebisha hali hiyo na kujaribu kusawazisha michakato ya muundo. Katika wakala pia fikiriakwamba mbinu zilizopo za kuunda chips zimepitwa na wakati. Shirika ilizinduliwa programu ya miaka mitano OpenROAD, ambayo inalenga kukuza zana mpya za kubinafsisha michakato ya muundo wa chip.

Ni aina gani ya programu

Mpango huu unahusisha miradi kadhaa inayotumia ujifunzaji wa mashine na teknolojia ya wingu ili kuelekeza hatua za kibinafsi za kuunda chip. Kama sehemu ya mpango huo zinaendelezwa (mchoro 1) ala zaidi ya kumi. Ifuatayo tutazungumza kwa undani zaidi juu ya baadhi yao: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Mkimbiaji wa Mtiririko ni chombo cha kusimamia maktaba za RTL na GDSII. Mwisho ni faili za hifadhidata ambazo ni kiwango cha tasnia cha kubadilishana habari kuhusu saketi zilizojumuishwa na topolojia zao. Suluhisho linatokana na teknolojia ya chombo cha Docker. Unaweza kuendesha Flow Runner katika wingu na ndani ya nchi. Mwongozo wa ufungaji uko kwenye hazina rasmi kwenye GitHub.

Badili ni suluhisho la wingu kulingana na ujifunzaji wa mashine, ambayo inawajibika kwa kuweka vipengee kwenye chip na uelekezaji wa kiotomatiki. Na data fulani, algorithms ya akili huongeza ufanisi wa chombo kwa 2-10% ikilinganishwa na mifumo ya classical. Kwa kuongeza, utekelezaji katika wingu hurahisisha kuongeza. Mwongozo wa ufungaji na usanidi unapatikana pia katika hazina.

TritonCTS - matumizi ya kuboresha mipigo ya saa inayotolewa kwa chip. Husaidia kuelekeza mawimbi ya saa kwa sehemu zote za kifaa zenye ucheleweshaji sawa. Kanuni ya uendeshaji inategemea H-miti. Mbinu hii mzozo ufanisi wa usambazaji wa ishara kwa 30% ikilinganishwa na njia za jadi. Watengenezaji wanasema kwamba katika siku zijazo takwimu hii inaweza kuongezeka hadi 56%. Nambari ya chanzo ya TritonCTS na hati zinapatikana kwenye GitHub.

OpenSTA - injini ya uchambuzi wa wakati tuli. Humpa mbunifu fursa ya kuangalia utendakazi wa chip kabla ya kuunganishwa. Nambari ya mfano katika OpenSTA inaonekana kama kama hii.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Huduma hii inasaidia maelezo ya netlist ya msimbo wa Verilog, maktaba ya umbizo la Uhuru, faili za SDC, n.k.

Faida na hasara

Wataalam kutoka IBM na IEEE kusherehekeakwamba teknolojia za wingu na kujifunza kwa mashine zimechelewa kwa muda mrefu kutumika katika utengenezaji wa chip. Kwa maoni yao, mradi wa DARPA unaweza kuwa mfano wa mafanikio wa utekelezaji wa wazo hili na itaweka mwanzo wa mabadiliko katika tasnia.

Inatarajiwa pia kuwa asili ya wazi ya OpenROAD itaunda jumuiya yenye nguvu karibu na zana na kuvutia wanaoanza.

Majadiliano: Mradi wa OpenROAD unanuia kutatua tatizo la uundaji otomatiki wa muundo wa kichakataji
Picha - Pexels - CC BY

Tayari kuna washiriki - maabara inayotengeneza chips msingi katika Chuo Kikuu cha Michigan, itakuwa ya kwanza, ambaye atajaribu zana huria za OpenROAD. Lakini bado haijajulikana ikiwa suluhisho mpya zitaweza kuwa na athari inayoonekana kwa gharama ya bidhaa za mwisho.

Kwa ujumla, zana zinazotengenezwa chini ya uongozi wa DARPA zinatarajiwa kuwa na matokeo chanya kwenye sekta ya wasindikaji, na miradi mipya zaidi itaanza kujitokeza katika eneo hili. Mfano itakuwa chombo Geda - hukuruhusu kuunda chips na idadi isiyo na kikomo ya vifaa. gEDA inajumuisha huduma za kuhariri na kuiga miduara midogo na uelekezaji wa bodi. Suluhisho lilitengenezwa kwa majukwaa ya UNIX, lakini idadi ya vipengele vyake pia hufanya kazi chini ya Windows. Mwongozo wa kufanya kazi nao unaweza kupatikana katika nyaraka kwenye tovuti ya mradi.

Zana zinazopatikana bila malipo huwapa mashirika huru na kuanzisha chaguo zaidi. Inawezekana kwamba baada ya muda, mbinu mpya za OpenROAD za ukuzaji wa zana za EDA na muundo wa chipu zinaweza kuwa kiwango cha tasnia.

Tunachoandika kwenye blogi yetu ya ushirika:

Chanzo: mapenzi.com

Kuongeza maoni