கலந்துரையாடல்: OpenROAD திட்டம் செயலி வடிவமைப்பின் தன்னியக்க சிக்கலை தீர்க்க விரும்புகிறது

கலந்துரையாடல்: OpenROAD திட்டம் செயலி வடிவமைப்பின் தன்னியக்க சிக்கலை தீர்க்க விரும்புகிறது
- Pexels - CC BY

மீது தரவு PWC, குறைக்கடத்தி தொழில்நுட்ப சந்தை வளர்ந்து வருகிறது - கடந்த ஆண்டு $481 பில்லியன் அடைந்தது. ஆனால் சமீபத்தில் அதன் வளர்ச்சி விகிதம் குறைந்துள்ளது. சரிவுக்கான காரணங்கள் குழப்பமான சாதன வடிவமைப்பு செயல்முறைகள் மற்றும் ஆட்டோமேஷன் இல்லாமை ஆகியவை அடங்கும்.

சில ஆண்டுகளுக்கு முன்பு, இன்டெல்லைச் சேர்ந்த பொறியாளர்கள் எழுதினார்உயர் செயல்திறன் கொண்ட நுண்செயலியை உருவாக்கும்போது நீங்கள் 100–150 தனித்தனி மென்பொருள் கருவிகளைப் பயன்படுத்த வேண்டும் (EDA ஆகியவற்றுக்கான) ASIC, FPGA, CPU அல்லது GPU - பல்வேறு வகையான சில்லுகளை உள்ளடக்கிய பன்முக சாதனங்களின் விஷயத்தில் நிலைமை மோசமடையலாம். இதன் விளைவாக, தயாரிப்புகளின் வெளியீட்டை தாமதப்படுத்தும் வடிவமைப்பு பிழைகள் ஏற்படுகின்றன.

அதிக எண்ணிக்கையிலான துணை கருவிகள் இருந்தபோதிலும், பொறியாளர்கள் இன்னும் சில வேலைகளை கைமுறையாக செய்ய வேண்டிய கட்டாயத்தில் உள்ளனர். புத்தகத்தின் ஆசிரியர்கள் "மேம்பட்ட தர்க்க தொகுப்பு"சில நேரங்களில் வடிவமைப்பாளர்கள் என்று அவர்கள் கூறுகிறார்கள் வேண்டும் நூலகங்களை உருவாக்க இரண்டு மில்லியன் வரிகளை ஸ்கில் அல்லது பைத்தானில் எழுதுங்கள் செல்கள்.

EDA அமைப்புகளால் உருவாக்கப்பட்ட அறிக்கைகளை அலசுவதற்கு ஸ்கிரிப்டுகள் எழுதப்படுகின்றன. 22nm செயல்முறை தொழில்நுட்பத்தைப் பயன்படுத்தி ஒரு சிப்பை உருவாக்கும்போது, ​​இந்த அறிக்கைகள் 30 டெராபைட்கள் வரை எடுக்கலாம்.

DARPA நிலைமையை சரிசெய்து வடிவமைப்பு செயல்முறைகளை தரப்படுத்த முயற்சித்தது. ஏஜென்சியிலும் கருத்தில்சில்லுகளை உருவாக்குவதற்கான தற்போதைய முறைகள் காலாவதியானவை. அமைப்பு தொடங்கப்பட்டது ஐந்து ஆண்டு திட்டம் திறந்த சாலை, இது சிப் வடிவமைப்பு செயல்முறைகளை தானியக்கமாக்க புதிய கருவிகளை உருவாக்குவதை நோக்கமாகக் கொண்டுள்ளது.

என்ன மாதிரியான திட்டம்

சிப் உருவாக்கத்தின் தனிப்பட்ட நிலைகளை தானியக்கமாக்க இயந்திர கற்றல் மற்றும் கிளவுட் தொழில்நுட்பங்களைப் பயன்படுத்தும் பல திட்டங்களை நிரல் உள்ளடக்கியது. முன்முயற்சியின் ஒரு பகுதியாக அபிவிருத்தி செய்யப்பட்டு வருகின்றன (வரைபடம் 1) பத்துக்கும் மேற்பட்ட கருவிகள். அடுத்து அவற்றில் சிலவற்றைப் பற்றி மேலும் விரிவாகப் பேசுவோம்: ஃப்ளோ ரன்னர், ரீபிளேஸ், டிரைடன்சிடிஎஸ், ஓபன்எஸ்டிஏ.

ஃப்ளோ ரன்னர் RTL மற்றும் GDSII நூலகங்களை நிர்வகிப்பதற்கான ஒரு கருவியாகும். பிந்தையவை தரவுத்தள கோப்புகளாகும், அவை ஒருங்கிணைந்த சுற்றுகள் மற்றும் அவற்றின் இடவியல் பற்றிய தகவல்களைப் பரிமாறிக் கொள்வதற்கான தொழில் தரநிலையாகும். தீர்வு டோக்கர் கொள்கலன் தொழில்நுட்பத்தை அடிப்படையாகக் கொண்டது. நீங்கள் கிளவுட் மற்றும் உள்நாட்டில் ஃப்ளோ ரன்னரை இயக்கலாம். நிறுவல் வழிகாட்டி அதிகாரப்பூர்வ களஞ்சியத்தில் உள்ளது GitHub இல்.

ரீப்ளேஸ் மெஷின் லேர்னிங்கை அடிப்படையாகக் கொண்ட கிளவுட் தீர்வாகும், இது சிப்பில் கூறுகளை வைப்பதற்கும் ரூட்டிங் தானியங்குபடுத்துவதற்கும் பொறுப்பாகும். மூலம் சில தரவு, அறிவார்ந்த வழிமுறைகள் கிளாசிக்கல் அமைப்புகளுடன் ஒப்பிடும்போது கருவியின் செயல்திறனை 2-10% அதிகரிக்கும். கூடுதலாக, கிளவுட்டில் செயல்படுத்துவது அளவிடுதலை எளிதாக்குகிறது. நிறுவல் மற்றும் கட்டமைப்பு வழிகாட்டி உள்ளது களஞ்சியத்தில்.

டிரைடன்சிடிஎஸ் - சிப்புக்கு வழங்கப்படும் கடிகார பருப்புகளை மேம்படுத்துவதற்கான ஒரு பயன்பாடு. சாதனத்தின் அனைத்து பகுதிகளுக்கும் ஒரே மாதிரியான தாமதங்களுடன் கடிகார சமிக்ஞைகளை அனுப்ப உதவுகிறது. செயல்பாட்டுக் கொள்கை அடிப்படையிலானது எச்-மரங்கள். இந்த அணுகுமுறை அதிகரிக்கும் பாரம்பரிய முறைகளுடன் ஒப்பிடும்போது 30% சமிக்ஞை விநியோக செயல்திறன். எதிர்காலத்தில் இந்த எண்ணிக்கையை 56% ஆக அதிகரிக்கலாம் என்று டெவலப்பர்கள் கூறுகின்றனர். TritonCTS மூலக் குறியீடு மற்றும் ஸ்கிரிப்டுகள் உள்ளன GitHub இல்.

OpenSTA - நிலையான நேர பகுப்பாய்வுக்கான இயந்திரம். இது வடிவமைப்பாளருக்கு சிப்பின் செயல்பாட்டைச் சரிபார்க்கும் வாய்ப்பை வழங்குகிறது. OpenSTA இல் எடுத்துக்காட்டு குறியீடு போல் தெரிகிறது இது போன்றது

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

வெரிலாக் குறியீடு, லிபர்ட்டி வடிவ நூலகங்கள், SDC கோப்புகள் போன்றவற்றின் நெட்லிஸ்ட் விளக்கங்களை இந்த பயன்பாடு ஆதரிக்கிறது.

நன்மைகள் மற்றும் தீமைகள்

IBM மற்றும் IEEE இன் நிபுணர்கள் குறிகிளவுட் டெக்னாலஜிகள் மற்றும் மெஷின் லேர்னிங் ஆகியவை சிப் தயாரிப்பில் பயன்படுத்துவதற்கு நீண்ட கால தாமதம் ஆகும். அவர்களின் கருத்துப்படி, தர்பா திட்டம் இந்த யோசனையை செயல்படுத்துவதற்கான ஒரு வெற்றிகரமான எடுத்துக்காட்டு மற்றும் போடுவார்கள் தொழில்துறையில் மாற்றங்களின் ஆரம்பம்.

OpenROAD இன் திறந்த தன்மையானது கருவிகளைச் சுற்றி ஒரு சக்திவாய்ந்த சமூகத்தை உருவாக்கும் மற்றும் புதிய தொடக்கங்களை ஈர்க்கும் என்றும் எதிர்பார்க்கப்படுகிறது.

கலந்துரையாடல்: OpenROAD திட்டம் செயலி வடிவமைப்பின் தன்னியக்க சிக்கலை தீர்க்க விரும்புகிறது
- Pexels - CC BY

ஏற்கனவே பங்கேற்பாளர்கள் உள்ளனர் - மிச்சிகன் பல்கலைக்கழகத்தில் சில்லுகளை உருவாக்கும் ஆய்வகம், முதலாவதாக இருக்கும், OpenROAD திறந்த மூல கருவிகளை யார் சோதிப்பார்கள். ஆனால் புதிய தீர்வுகள் இறுதி தயாரிப்புகளின் விலையில் குறிப்பிடத்தக்க தாக்கத்தை ஏற்படுத்துமா என்பது இன்னும் தெரியவில்லை.

ஒட்டுமொத்தமாக, தர்பாவின் தலைமையின் கீழ் உருவாக்கப்பட்ட கருவிகள் செயலித் துறையில் நேர்மறையான தாக்கத்தை ஏற்படுத்தும் என்று எதிர்பார்க்கப்படுகிறது, மேலும் இந்த பகுதியில் மேலும் புதிய திட்டங்கள் வெளிவரத் தொடங்கும். ஒரு உதாரணம் ஒரு கருவியாக இருக்கும் GEDA - இது வரம்பற்ற கூறுகளுடன் சில்லுகளை வடிவமைக்க உங்களை அனுமதிக்கிறது. gEDA ஆனது மைக்ரோ சர்க்யூட்கள் மற்றும் போர்டு ரூட்டிங் எடிட்டிங் மற்றும் மாடலிங் செய்வதற்கான பயன்பாடுகளை உள்ளடக்கியது. தீர்வு யுனிக்ஸ் இயங்குதளங்களுக்காக உருவாக்கப்பட்டது, ஆனால் அதன் பல கூறுகளும் விண்டோஸின் கீழ் வேலை செய்கின்றன. அவர்களுடன் பணியாற்றுவதற்கான வழிகாட்டியைக் காணலாம் திட்ட இணையதளத்தில் உள்ள ஆவணத்தில்.

இலவசமாகக் கிடைக்கும் கருவிகள் சுயாதீன நிறுவனங்கள் மற்றும் தொடக்க நிறுவனங்களுக்கு கூடுதல் விருப்பங்களை வழங்குகின்றன. காலப்போக்கில், EDA கருவி மேம்பாடு மற்றும் சிப் வடிவமைப்பிற்கான OpenROAD இன் புதிய அணுகுமுறைகள் ஒரு தொழில் தரநிலையாக மாறக்கூடும்.

எங்கள் நிறுவன வலைப்பதிவில் நாம் என்ன எழுதுகிறோம்:

ஆதாரம்: www.habr.com

கருத்தைச் சேர்