DPI இடைமுகம் மற்றும் FPGA போர்டு வழியாக Raspberry Pi3க்கு இரண்டாவது HDMI மானிட்டர்


இந்த வீடியோ காட்டுகிறது: ஒரு Raspberry Pi3 போர்டு, GPIO இணைப்பான் வழியாக அதனுடன் இணைக்கப்பட்டிருப்பது FPGA போர்டு Mars Rover2rpi (Cyclone IV) ஆகும், இதில் HDMI மானிட்டர் இணைக்கப்பட்டுள்ளது. இரண்டாவது மானிட்டர் ராஸ்பெர்ரி Pi3 இன் நிலையான HDMI இணைப்பான் வழியாக இணைக்கப்பட்டுள்ளது. டூயல் மானிட்டர் சிஸ்டம் போல அனைத்தும் ஒன்றாக வேலை செய்கிறது.

அடுத்து, இது எவ்வாறு செயல்படுத்தப்படுகிறது என்பதை நான் உங்களுக்கு சொல்கிறேன்.

பிரபலமான Raspberry Pi3 போர்டில் GPIO இணைப்பு உள்ளது, இதன் மூலம் நீங்கள் பல்வேறு விரிவாக்க அட்டைகளை இணைக்க முடியும்: சென்சார்கள், LED கள், ஸ்டெப்பர் மோட்டார் டிரைவர்கள் மற்றும் பல. ஒரு இணைப்பியில் உள்ள ஒவ்வொரு பின்னின் சரியான செயல்பாடு போர்ட் உள்ளமைவைப் பொறுத்தது. GPIO ALT2 உள்ளமைவு இணைப்பியை DPI இடைமுகப் பயன்முறைக்கு மாற்ற அனுமதிக்கிறது, காட்சி இணை இடைமுகம். DPI வழியாக VGA மானிட்டர்களை இணைப்பதற்கான விரிவாக்க அட்டைகள் உள்ளன. இருப்பினும், முதலாவதாக, VGA மானிட்டர்கள் HDMI போல பொதுவானதாக இல்லை, இரண்டாவதாக, டிஜிட்டல் இடைமுகம் அனலாக் ஒன்றை விட அதிக அளவில் சிறப்பாக உள்ளது. மேலும், அத்தகைய VGA விரிவாக்கப் பலகைகளில் உள்ள DAC பொதுவாக R-2-R சங்கிலிகளின் வடிவத்தில் செய்யப்படுகிறது மற்றும் பெரும்பாலும் ஒரு வண்ணத்திற்கு 6 பிட்களுக்கு மேல் இருக்காது.

ALT2 பயன்முறையில், GPIO இணைப்பு ஊசிகள் பின்வரும் பொருளைக் கொண்டுள்ளன:

DPI இடைமுகம் மற்றும் FPGA போர்டு வழியாக Raspberry Pi3க்கு இரண்டாவது HDMI மானிட்டர்

இங்கே நான் கனெக்டரின் RGB ஊசிகளை முறையே சிவப்பு, பச்சை மற்றும் நீல வண்ணம் செய்துள்ளேன். மற்ற முக்கியமான சமிக்ஞைகள் V-SYNC மற்றும் H-SYNC சிக்னல்கள், அத்துடன் CLK. CLK கடிகார அதிர்வெண் என்பது இணைப்பிற்கு பிக்சல் மதிப்புகள் வெளிவரும் அதிர்வெண்; இது தேர்ந்தெடுக்கப்பட்ட வீடியோ பயன்முறையைப் பொறுத்தது.

டிஜிட்டல் HDMI மானிட்டரை இணைக்க, நீங்கள் இடைமுகத்தின் DPI சிக்னல்களை கைப்பற்றி அவற்றை HDMI சிக்னல்களாக மாற்ற வேண்டும். உதாரணமாக, சில வகையான FPGA போர்டைப் பயன்படுத்தி இதைச் செய்யலாம். இது மாறிவிடும், இந்த நோக்கங்களுக்காக Mars Rover2rpi பலகை பொருத்தமானது. உண்மையில், இந்த போர்டை ஒரு சிறப்பு அடாப்டர் மூலம் இணைப்பதற்கான முக்கிய விருப்பம் இதுபோல் தெரிகிறது:

DPI இடைமுகம் மற்றும் FPGA போர்டு வழியாக Raspberry Pi3க்கு இரண்டாவது HDMI மானிட்டர்

இந்த பலகை GPIO போர்ட்களின் எண்ணிக்கையை அதிகரிக்கவும், மேலும் புற சாதனங்களை ராஸ்பெர்ரியுடன் இணைக்கவும் பயன்படுகிறது. அதே நேரத்தில், இந்த இணைப்புடன் 4 GPIO சிக்னல்கள் JTAG சிக்னல்களுக்குப் பயன்படுத்தப்படுகின்றன, இதனால் ராஸ்பெர்ரியிலிருந்து வரும் நிரல் FPGA ஃபார்ம்வேரை FPGA இல் ஏற்ற முடியும். இதன் காரணமாக, இந்த நிலையான இணைப்பு எனக்கு பொருந்தாது; 4 டிபிஐ சிக்னல்கள் வெளியேறுகின்றன. அதிர்ஷ்டவசமாக, போர்டில் உள்ள கூடுதல் சீப்புகளில் ராஸ்பெர்ரி-இணக்கமான பின்அவுட் உள்ளது. எனவே நான் பலகையை 90 டிகிரி சுழற்ற முடியும், இன்னும் அதை எனது ராஸ்பெர்ரியுடன் இணைக்க முடியும்:

DPI இடைமுகம் மற்றும் FPGA போர்டு வழியாக Raspberry Pi3க்கு இரண்டாவது HDMI மானிட்டர்

நிச்சயமாக, நீங்கள் வெளிப்புற JTAG புரோகிராமரைப் பயன்படுத்த வேண்டும், ஆனால் இது ஒரு பிரச்சனையல்ல.

இன்னும் ஒரு சிறிய பிரச்சனை உள்ளது. ஒவ்வொரு FPGA பின்னையும் கடிகார உள்ளீடாகப் பயன்படுத்த முடியாது. இந்த நோக்கங்களுக்காகப் பயன்படுத்தக்கூடிய சில பிரத்யேக ஊசிகள் மட்டுமே உள்ளன. எனவே GPIO_0 CLK சமிக்ஞை FPGA உள்ளீட்டை அடையவில்லை, இது FPGA கடிகார உள்ளீடாகப் பயன்படுத்தப்படலாம். அதனால் நான் இன்னும் ஒரு கம்பியை தாவணியில் வைக்க வேண்டியிருந்தது. நான் GPIO_0 மற்றும் போர்டின் KEY[1] சிக்னலை இணைக்கிறேன்:

DPI இடைமுகம் மற்றும் FPGA போர்டு வழியாக Raspberry Pi3க்கு இரண்டாவது HDMI மானிட்டர்

இப்போது FPGA திட்டத்தைப் பற்றி கொஞ்சம் சொல்கிறேன். HDMI சிக்னல்களை உருவாக்குவதில் முக்கிய சிரமம் மிக அதிக அதிர்வெண்கள் ஆகும். நீங்கள் HDMI இணைப்பான் பின்அவுட்டைப் பார்த்தால், RGB சிக்னல்கள் இப்போது வரிசை வேறுபாடு சமிக்ஞைகளாக இருப்பதைக் காணலாம்:

DPI இடைமுகம் மற்றும் FPGA போர்டு வழியாக Raspberry Pi3க்கு இரண்டாவது HDMI மானிட்டர்

ஒரு வேறுபட்ட சமிக்ஞையின் பயன்பாடு பரிமாற்ற வரியில் பொதுவான பயன்முறை குறுக்கீட்டை எதிர்த்துப் போராட உங்களை அனுமதிக்கிறது. இந்த வழக்கில், ஒவ்வொரு வண்ண சமிக்ஞையின் அசல் எட்டு-பிட் குறியீடு 10-பிட் TMDS ஆக மாற்றப்படுகிறது (மாற்றம்-குறைக்கப்பட்ட வேறுபட்ட சமிக்ஞை). இது சிக்னலில் இருந்து DC கூறுகளை அகற்றுவதற்கும், வேறுபட்ட வரியில் சிக்னல் மாறுவதைக் குறைப்பதற்கும் ஒரு சிறப்பு குறியீட்டு முறையாகும். 10 பிட்கள் இப்போது ஒரு பைட் வண்ணத்திற்கு தொடர் வரியில் அனுப்பப்பட வேண்டும் என்பதால், சீரியலைசர் கடிகார வேகம் பிக்சல் கடிகார வேகத்தை விட 10 மடங்கு அதிகமாக இருக்க வேண்டும். உதாரணமாக 1280x720 60Hz வீடியோ பயன்முறையை எடுத்துக் கொண்டால், இந்த பயன்முறையின் பிக்சல் அதிர்வெண் 74,25 MHz ஆகும். சீரியலைசர் 742,5 MHz ஆக இருக்க வேண்டும்.

வழக்கமான FPGA கள், துரதிருஷ்டவசமாக, இதற்கு திறன் இல்லை. இருப்பினும், அதிர்ஷ்டவசமாக எங்களுக்கு, FPGA ஆனது உள்ளமைக்கப்பட்ட DDIO ஊசிகளைக் கொண்டுள்ளது. இவை ஏற்கனவே, 2-க்கு-1 சீரியலைசர்களாக இருந்த முடிவுகளாகும். அதாவது, கடிகார அதிர்வெண்ணின் உயரும் மற்றும் விழும் விளிம்புகளில் அவை இரண்டு பிட்களை வரிசையாக வெளியிட முடியும். இதன் பொருள் FPGA திட்டத்தில் நீங்கள் 740 MHz அல்ல, 370 MHz ஐப் பயன்படுத்தலாம், ஆனால் நீங்கள் FPGA இல் DDIO வெளியீட்டு கூறுகளைப் பயன்படுத்த வேண்டும். இப்போது 370 மெகா ஹெர்ட்ஸ் ஏற்கனவே முழுமையாக அடையக்கூடிய அதிர்வெண் ஆகும். துரதிர்ஷ்டவசமாக, 1280x720 பயன்முறை வரம்பு. Mars Rover2rpi போர்டில் நிறுவப்பட்டுள்ள எங்கள் Cyclone IV FPGA இல் உயர் தெளிவுத்திறனை அடைய முடியாது.

எனவே, வடிவமைப்பில், உள்ளீட்டு பிக்சல் அதிர்வெண் CLK PLL க்கு செல்கிறது, அங்கு அது 5 ஆல் பெருக்கப்படுகிறது. இந்த அதிர்வெண்ணில், R, G, B பைட்டுகள் பிட் ஜோடிகளாக மாற்றப்படுகின்றன. TMDS குறியாக்கி இதைத்தான் செய்கிறது. Verilog HDL இல் உள்ள மூலக் குறியீடு இதுபோல் தெரிகிறது:

module hdmi(
	input wire pixclk,		// 74MHz
	input wire clk_TMDS2,	// 370MHz
	input wire hsync,
	input wire vsync,
	input wire active,
	input wire [7:0]red,
	input wire [7:0]green,
	input wire [7:0]blue,
	output wire TMDS_bh,
	output wire TMDS_bl,
	output wire TMDS_gh,
	output wire TMDS_gl,
	output wire TMDS_rh,
	output wire TMDS_rl
);

wire [9:0] TMDS_red, TMDS_green, TMDS_blue;
TMDS_encoder encode_R(.clk(pixclk), .VD(red  ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_red));
TMDS_encoder encode_G(.clk(pixclk), .VD(green), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_green));
TMDS_encoder encode_B(.clk(pixclk), .VD(blue ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_blue));

reg [2:0] TMDS_mod5=0;  // modulus 5 counter
reg [4:0] TMDS_shift_bh=0, TMDS_shift_bl=0;
reg [4:0] TMDS_shift_gh=0, TMDS_shift_gl=0;
reg [4:0] TMDS_shift_rh=0, TMDS_shift_rl=0;

wire [4:0] TMDS_blue_l  = {TMDS_blue[9],TMDS_blue[7],TMDS_blue[5],TMDS_blue[3],TMDS_blue[1]};
wire [4:0] TMDS_blue_h  = {TMDS_blue[8],TMDS_blue[6],TMDS_blue[4],TMDS_blue[2],TMDS_blue[0]};
wire [4:0] TMDS_green_l = {TMDS_green[9],TMDS_green[7],TMDS_green[5],TMDS_green[3],TMDS_green[1]};
wire [4:0] TMDS_green_h = {TMDS_green[8],TMDS_green[6],TMDS_green[4],TMDS_green[2],TMDS_green[0]};
wire [4:0] TMDS_red_l   = {TMDS_red[9],TMDS_red[7],TMDS_red[5],TMDS_red[3],TMDS_red[1]};
wire [4:0] TMDS_red_h   = {TMDS_red[8],TMDS_red[6],TMDS_red[4],TMDS_red[2],TMDS_red[0]};

always @(posedge clk_TMDS2)
begin
	TMDS_shift_bh <= TMDS_mod5[2] ? TMDS_blue_h  : TMDS_shift_bh  [4:1];
	TMDS_shift_bl <= TMDS_mod5[2] ? TMDS_blue_l  : TMDS_shift_bl  [4:1];
	TMDS_shift_gh <= TMDS_mod5[2] ? TMDS_green_h : TMDS_shift_gh  [4:1];
	TMDS_shift_gl <= TMDS_mod5[2] ? TMDS_green_l : TMDS_shift_gl  [4:1];
	TMDS_shift_rh <= TMDS_mod5[2] ? TMDS_red_h   : TMDS_shift_rh  [4:1];
	TMDS_shift_rl <= TMDS_mod5[2] ? TMDS_red_l   : TMDS_shift_rl  [4:1];
	TMDS_mod5 <= (TMDS_mod5[2]) ? 3'd0 : TMDS_mod5+3'd1;
end

assign TMDS_bh = TMDS_shift_bh[0];
assign TMDS_bl = TMDS_shift_bl[0];
assign TMDS_gh = TMDS_shift_gh[0];
assign TMDS_gl = TMDS_shift_gl[0];
assign TMDS_rh = TMDS_shift_rh[0];
assign TMDS_rl = TMDS_shift_rl[0];

endmodule

module TMDS_encoder(
	input clk,
	input [7:0] VD,	// video data (red, green or blue)
	input [1:0] CD,	// control data
	input VDE,  	// video data enable, to choose between CD (when VDE=0) and VD (when VDE=1)
	output reg [9:0] TMDS = 0
);

wire [3:0] Nb1s = VD[0] + VD[1] + VD[2] + VD[3] + VD[4] + VD[5] + VD[6] + VD[7];
wire XNOR = (Nb1s>4'd4) || (Nb1s==4'd4 && VD[0]==1'b0);
wire [8:0] q_m = {~XNOR, q_m[6:0] ^ VD[7:1] ^ {7{XNOR}}, VD[0]};

reg [3:0] balance_acc = 0;
wire [3:0] balance = q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7] - 4'd4;
wire balance_sign_eq = (balance[3] == balance_acc[3]);
wire invert_q_m = (balance==0 || balance_acc==0) ? ~q_m[8] : balance_sign_eq;
wire [3:0] balance_acc_inc = balance - ({q_m[8] ^ ~balance_sign_eq} & ~(balance==0 || balance_acc==0));
wire [3:0] balance_acc_new = invert_q_m ? balance_acc-balance_acc_inc : balance_acc+balance_acc_inc;
wire [9:0] TMDS_data = {invert_q_m, q_m[8], q_m[7:0] ^ {8{invert_q_m}}};
wire [9:0] TMDS_code = CD[1] ? (CD[0] ? 10'b1010101011 : 10'b0101010100) : (CD[0] ? 10'b0010101011 : 10'b1101010100);

always @(posedge clk) TMDS <= VDE ? TMDS_data : TMDS_code;
always @(posedge clk) balance_acc <= VDE ? balance_acc_new : 4'h0;

endmodule

பின்னர் வெளியீட்டு ஜோடிகள் DDIO வெளியீட்டிற்கு வழங்கப்படுகின்றன, இது தொடர்ச்சியாக உயரும் மற்றும் விழும் விளிம்புகளில் ஒரு பிட் சமிக்ஞையை உருவாக்குகிறது.

DDIO வையே பின்வரும் வெரிலாக் குறியீட்டுடன் விவரிக்கலாம்:

module ddio(
	input wire d0,
	input wire d1,
	input wire clk,
	output wire out
	);

reg r_d0;
reg r_d1;
always @(posedge clk)
begin
	r_d0 <= d0;
	r_d1 <= d1;
end
assign out = clk ? r_d0 : r_d1;
endmodule

ஆனால் அது பெரும்பாலும் அப்படி வேலை செய்யாது. உண்மையில் DDIO வெளியீட்டு கூறுகளை இயக்க, Alter's megafunction ALTDDIO_OUT ஐப் பயன்படுத்த வேண்டும். எனது திட்டம் ALTDDIO_OUT நூலகக் கூறுகளைப் பயன்படுத்துகிறது.

இவை அனைத்தும் கொஞ்சம் தந்திரமானதாக தோன்றலாம், ஆனால் அது வேலை செய்கிறது.

Verilog HDL இல் எழுதப்பட்ட அனைத்து மூலக் குறியீட்டையும் நீங்கள் பார்க்கலாம் இங்கே கிதுப்பில்.

FPGA க்கான தொகுக்கப்பட்ட ஃபார்ம்வேர் Mars Rover2rpi போர்டில் நிறுவப்பட்ட EPCS சிப்பில் ஒளிரும். எனவே, FPGA போர்டில் மின்சாரம் பயன்படுத்தப்படும் போது, ​​FPGA ஃபிளாஷ் நினைவகத்திலிருந்து துவக்கப்பட்டு ஸ்டார்ட் செய்யப்படும்.

இப்போது நாம் ராஸ்பெர்ரியின் உள்ளமைவைப் பற்றி கொஞ்சம் பேச வேண்டும்.

டெபியன் பஸ்டர், பதிப்பு: ஆகஸ்ட் 32, அடிப்படையிலான Raspberry PI OS (2020 பிட்) இல் நான் சோதனைகளைச் செய்து வருகிறேன்.
வெளியீட்டு தேதி: 2020-08-20, கர்னல் பதிப்பு: 5.4.

நீங்கள் இரண்டு விஷயங்களைச் செய்ய வேண்டும்:

  • config.txt கோப்பைத் திருத்தவும்;
  • இரண்டு மானிட்டர்களுடன் வேலை செய்ய ஒரு X சர்வர் உள்ளமைவை உருவாக்கவும்.

/boot/config.txt கோப்பைத் திருத்தும்போது உங்களுக்குத் தேவை:

  1. i2c, i2s, spi பயன்பாட்டை முடக்கு;
  2. மேலடுக்கு dtoverlay=dpi24 ஐப் பயன்படுத்தி DPI பயன்முறையை இயக்கவும்;
  3. வீடியோ பயன்முறை 1280×720 60Hz, DPI இல் ஒரு பிக்சலுக்கு 24 பிட்கள்;
  4. தேவையான ஃப்ரேம்பஃபர்கள் 2 ஐக் குறிப்பிடவும் (max_framebuffers=2, இரண்டாவது சாதனம் /dev/fb1 தோன்றும்)

config.txt கோப்பின் முழு உரையும் இப்படித் தெரிகிறது.

# For more options and information see
# http://rpf.io/configtxt
# Some settings may impact device functionality. See link above for details

# uncomment if you get no picture on HDMI for a default "safe" mode
#hdmi_safe=1

# uncomment this if your display has a black border of unused pixels visible
# and your display can output without overscan
disable_overscan=1

# uncomment the following to adjust overscan. Use positive numbers if console
# goes off screen, and negative if there is too much border
#overscan_left=16
#overscan_right=16
#overscan_top=16
#overscan_bottom=16

# uncomment to force a console size. By default it will be display's size minus
# overscan.
#framebuffer_width=1280
#framebuffer_height=720

# uncomment if hdmi display is not detected and composite is being output
hdmi_force_hotplug=1

# uncomment to force a specific HDMI mode (this will force VGA)
#hdmi_group=1
#hdmi_mode=1

# uncomment to force a HDMI mode rather than DVI. This can make audio work in
# DMT (computer monitor) modes
#hdmi_drive=2

# uncomment to increase signal to HDMI, if you have interference, blanking, or
# no display
#config_hdmi_boost=4

# uncomment for composite PAL
#sdtv_mode=2

#uncomment to overclock the arm. 700 MHz is the default.
#arm_freq=800

# Uncomment some or all of these to enable the optional hardware interfaces
#dtparam=i2c_arm=on
#dtparam=i2s=on
#dtparam=spi=on

dtparam=i2c_arm=off
dtparam=spi=off
dtparam=i2s=off

dtoverlay=dpi24
overscan_left=0
overscan_right=0
overscan_top=0
overscan_bottom=0
framebuffer_width=1280
framebuffer_height=720
display_default_lcd=0
enable_dpi_lcd=1
dpi_group=2
dpi_mode=87
#dpi_group=1
#dpi_mode=4
dpi_output_format=0x6f027
dpi_timings=1280 1 110 40 220 720 1 5 5 20 0 0 0 60 0 74000000 3

# Uncomment this to enable infrared communication.
#dtoverlay=gpio-ir,gpio_pin=17
#dtoverlay=gpio-ir-tx,gpio_pin=18

# Additional overlays and parameters are documented /boot/overlays/README

# Enable audio (loads snd_bcm2835)
dtparam=audio=on

[pi4]
# Enable DRM VC4 V3D driver on top of the dispmanx display stack
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

[all]
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

இதற்குப் பிறகு, இரண்டு ஃபிரேம்பஃபர்கள் /dev/fb0 மற்றும் /dev/fb1 ஆகியவற்றில் இரண்டு மானிட்டர்களைப் பயன்படுத்த, X சேவையகத்திற்கான உள்ளமைவு கோப்பை நீங்கள் உருவாக்க வேண்டும்:

எனது உள்ளமைவு கோப்பு /usr/share/x11/xorg.conf.d/60-dualscreen.conf இது போன்றது

Section "Device"
        Identifier      "LCD"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb0"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Device"
        Identifier      "HDMI"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb1"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Monitor"
        Identifier      "LCD-monitor"
        Option          "Primary" "true"
EndSection

Section "Monitor"
        Identifier      "HDMI-monitor"
        Option          "RightOf" "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen0"
        Device          "LCD"
        Monitor         "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen1"
        Device          "HDMI" 
	Monitor         "HDMI-monitor"
EndSection

Section "ServerLayout"
        Identifier      "default"
        Option          "Xinerama" "on"
        Option          "Clone" "off"
        Screen 0        "screen0"
        Screen 1        "screen1" RightOf "screen0"
EndSection

சரி, இது ஏற்கனவே நிறுவப்படவில்லை என்றால், நீங்கள் Xinerama ஐ நிறுவ வேண்டும். மேலே உள்ள டெமோ வீடியோவில் காட்டப்பட்டுள்ளபடி, டெஸ்க்டாப் இடம் இரண்டு மானிட்டர்களுக்கு முழுமையாக விரிவாக்கப்படும்.

அனேகமாக அவ்வளவுதான். இப்போது, ​​Raspberry Pi3 உரிமையாளர்கள் இரண்டு மானிட்டர்களைப் பயன்படுத்த முடியும்.

Mars Rover2rpi போர்டின் விளக்கம் மற்றும் சுற்று வரைபடத்தைக் காணலாம் இங்கே பாருங்கள்.

ஆதாரம்: www.habr.com