DPI ఇంటర్‌ఫేస్ మరియు FPGA బోర్డ్ ద్వారా రాస్ప్‌బెర్రీ Pi3కి రెండవ HDMI మానిటర్


ఈ వీడియో చూపిస్తుంది: రాస్ప్‌బెర్రీ Pi3 బోర్డ్, GPIO కనెక్టర్ ద్వారా దానికి కనెక్ట్ చేయబడిన FPGA బోర్డ్ Mars Rover2rpi (సైక్లోన్ IV), దీనికి HDMI మానిటర్ కనెక్ట్ చేయబడింది. రెండవ మానిటర్ రాస్ప్బెర్రీ Pi3 యొక్క ప్రామాణిక HDMI కనెక్టర్ ద్వారా కనెక్ట్ చేయబడింది. అన్నీ కలిసి డ్యూయల్ మానిటర్ సిస్టమ్ లాగా పనిచేస్తాయి.

ఇది ఎలా అమలు చేయబడుతుందో తరువాత నేను మీకు చెప్తాను.

ప్రముఖ Raspberry Pi3 బోర్డ్‌లో GPIO కనెక్టర్ ఉంది, దీని ద్వారా మీరు వివిధ విస్తరణ కార్డులను కనెక్ట్ చేయవచ్చు: సెన్సార్‌లు, LED లు, స్టెప్పర్ మోటార్ డ్రైవర్‌లు మరియు మరిన్ని. కనెక్టర్‌లోని ప్రతి పిన్ యొక్క ఖచ్చితమైన పని పోర్ట్ కాన్ఫిగరేషన్‌పై ఆధారపడి ఉంటుంది. GPIO ALT2 కాన్ఫిగరేషన్ కనెక్టర్‌ను DPI ఇంటర్‌ఫేస్ మోడ్, డిస్‌ప్లే సమాంతర ఇంటర్‌ఫేస్‌కి మార్చడానికి మిమ్మల్ని అనుమతిస్తుంది. DPI ద్వారా VGA మానిటర్లను కనెక్ట్ చేయడానికి విస్తరణ కార్డులు ఉన్నాయి. అయితే, ముందుగా, VGA మానిటర్లు HDMI వలె సాధారణం కాదు మరియు రెండవది, డిజిటల్ ఇంటర్‌ఫేస్ అనలాగ్ కంటే మెరుగ్గా ఉంది. అంతేకాకుండా, అటువంటి VGA విస్తరణ బోర్డులపై DAC సాధారణంగా R-2-R గొలుసుల రూపంలో తయారు చేయబడుతుంది మరియు తరచుగా ఒక్కో రంగుకు 6 బిట్‌ల కంటే ఎక్కువ ఉండదు.

ALT2 మోడ్‌లో, GPIO కనెక్టర్ పిన్‌లు క్రింది అర్థాన్ని కలిగి ఉంటాయి:

DPI ఇంటర్‌ఫేస్ మరియు FPGA బోర్డ్ ద్వారా రాస్ప్‌బెర్రీ Pi3కి రెండవ HDMI మానిటర్

ఇక్కడ నేను కనెక్టర్ యొక్క RGB పిన్‌లను వరుసగా ఎరుపు, ఆకుపచ్చ మరియు నీలం రంగులో ఉంచాను. ఇతర ముఖ్యమైన సంకేతాలు V-SYNC మరియు H-SYNC సంకేతాలు, అలాగే CLK. CLK క్లాక్ ఫ్రీక్వెన్సీ అనేది కనెక్టర్‌కు పిక్సెల్ విలువలు అవుట్‌పుట్ అయ్యే ఫ్రీక్వెన్సీ; ఇది ఎంచుకున్న వీడియో మోడ్‌పై ఆధారపడి ఉంటుంది.

డిజిటల్ HDMI మానిటర్‌ను కనెక్ట్ చేయడానికి, మీరు ఇంటర్‌ఫేస్ యొక్క DPI సిగ్నల్‌లను క్యాప్చర్ చేయాలి మరియు వాటిని HDMI సిగ్నల్‌లకు మార్చాలి. ఉదాహరణకు, ఒక రకమైన FPGA బోర్డ్‌ని ఉపయోగించి ఇది చేయవచ్చు. ఇది ముగిసినట్లుగా, మార్స్ రోవర్ 2 ఆర్పి బోర్డు ఈ ప్రయోజనాల కోసం అనుకూలంగా ఉంటుంది. వాస్తవానికి, ఈ బోర్డుని ప్రత్యేక అడాప్టర్ ద్వారా కనెక్ట్ చేయడానికి ప్రధాన ఎంపిక ఇలా కనిపిస్తుంది:

DPI ఇంటర్‌ఫేస్ మరియు FPGA బోర్డ్ ద్వారా రాస్ప్‌బెర్రీ Pi3కి రెండవ HDMI మానిటర్

ఈ బోర్డు GPIO పోర్ట్‌ల సంఖ్యను పెంచడానికి మరియు మరిన్ని పరిధీయ పరికరాలను కోరిందకాయకు కనెక్ట్ చేయడానికి ఉపయోగించబడుతుంది. అదే సమయంలో, ఈ కనెక్షన్‌తో 4 GPIO సిగ్నల్‌లు JTAG సిగ్నల్‌ల కోసం ఉపయోగించబడతాయి, తద్వారా రాస్ప్‌బెర్రీ నుండి ప్రోగ్రామ్ FPGA ఫర్మ్‌వేర్‌ను FPGAలోకి లోడ్ చేస్తుంది. దీని కారణంగా, ఈ ప్రామాణిక కనెక్షన్ నాకు సరిపోదు; 4 DPI సిగ్నల్స్ డ్రాప్ అవుట్. అదృష్టవశాత్తూ, బోర్డులోని అదనపు దువ్వెనలు రాస్ప్బెర్రీ-అనుకూలమైన పిన్అవుట్ను కలిగి ఉంటాయి. కాబట్టి నేను బోర్డ్‌ను 90 డిగ్రీలు తిప్పగలను మరియు ఇప్పటికీ దానిని నా కోరిందకాయకు కనెక్ట్ చేయగలను:

DPI ఇంటర్‌ఫేస్ మరియు FPGA బోర్డ్ ద్వారా రాస్ప్‌బెర్రీ Pi3కి రెండవ HDMI మానిటర్

అయితే, మీరు బాహ్య JTAG ప్రోగ్రామర్‌ని ఉపయోగించాల్సి ఉంటుంది, కానీ ఇది సమస్య కాదు.

ఇంకా చిన్న సమస్య ఉంది. ప్రతి FPGA పిన్ క్లాక్ ఇన్‌పుట్‌గా ఉపయోగించబడదు. ఈ ప్రయోజనాల కోసం ఉపయోగించబడే కొన్ని ప్రత్యేకమైన పిన్‌లు మాత్రమే ఉన్నాయి. కాబట్టి GPIO_0 CLK సిగ్నల్ FPGA ఇన్‌పుట్‌కు చేరుకోలేదని ఇక్కడ తేలింది, దీనిని FPGA క్లాక్ ఇన్‌పుట్‌గా ఉపయోగించవచ్చు. కాబట్టి నేను ఇప్పటికీ కండువాపై ఒక వైర్ ఉంచవలసి వచ్చింది. నేను GPIO_0 మరియు బోర్డు యొక్క KEY[1] సిగ్నల్‌ని కనెక్ట్ చేసాను:

DPI ఇంటర్‌ఫేస్ మరియు FPGA బోర్డ్ ద్వారా రాస్ప్‌బెర్రీ Pi3కి రెండవ HDMI మానిటర్

ఇప్పుడు నేను మీకు FPGA ప్రాజెక్ట్ గురించి కొంచెం చెబుతాను. HDMI సిగ్నల్‌లను రూపొందించడంలో ప్రధాన ఇబ్బంది చాలా ఎక్కువ పౌనఃపున్యాలు. మీరు HDMI కనెక్టర్ పిన్‌అవుట్‌ని చూస్తే, RGB సిగ్నల్స్ ఇప్పుడు సీరియల్ డిఫరెన్షియల్ సిగ్నల్స్ అని మీరు చూడవచ్చు:

DPI ఇంటర్‌ఫేస్ మరియు FPGA బోర్డ్ ద్వారా రాస్ప్‌బెర్రీ Pi3కి రెండవ HDMI మానిటర్

అవకలన సిగ్నల్ యొక్క ఉపయోగం ట్రాన్స్మిషన్ లైన్లో సాధారణ మోడ్ జోక్యాన్ని ఎదుర్కోవడానికి మిమ్మల్ని అనుమతిస్తుంది. ఈ సందర్భంలో, ప్రతి రంగు సిగ్నల్ యొక్క అసలైన ఎనిమిది-బిట్ కోడ్ 10-బిట్ TMDS (ట్రాన్సిషన్-కనిష్టీకరించిన అవకలన సిగ్నలింగ్)గా మార్చబడుతుంది. సిగ్నల్ నుండి DC కాంపోనెంట్‌ను తీసివేయడానికి మరియు అవకలన లైన్‌లో సిగ్నల్ స్విచింగ్‌ను తగ్గించడానికి ఇది ఒక ప్రత్యేక కోడింగ్ పద్ధతి. 10 బిట్‌లను ఇప్పుడు ఒక బైట్ రంగు కోసం సీరియల్ లైన్‌లో ప్రసారం చేయాల్సి ఉంటుంది కాబట్టి, సీరియలైజర్ క్లాక్ స్పీడ్ పిక్సెల్ క్లాక్ స్పీడ్ కంటే 10 రెట్లు ఎక్కువగా ఉండాలి. మేము ఉదాహరణకు వీడియో మోడ్ 1280x720 60Hz తీసుకుంటే, ఈ మోడ్ యొక్క పిక్సెల్ ఫ్రీక్వెన్సీ 74,25 MHz. సీరియలైజర్ 742,5 MHz ఉండాలి.

సాధారణ FPGAలు, దురదృష్టవశాత్తు, దీనికి సామర్థ్యం లేదు. అయితే, అదృష్టవశాత్తూ, FPGA అంతర్నిర్మిత DDIO పిన్‌లను కలిగి ఉంది. ఇవి ఇప్పటికే 2-టు-1 సీరియలైజర్‌లుగా ఉన్న ముగింపులు. అంటే, అవి క్లాక్ ఫ్రీక్వెన్సీ యొక్క పెరుగుతున్న మరియు పడిపోయే అంచులపై వరుసగా రెండు బిట్‌లను అవుట్‌పుట్ చేయగలవు. దీని అర్థం FPGA ప్రాజెక్ట్‌లో మీరు 740 MHz కాదు, 370 MHzని ఉపయోగించవచ్చు, కానీ మీరు FPGAలో DDIO అవుట్‌పుట్ ఎలిమెంట్‌లను ఉపయోగించాలి. ఇప్పుడు 370 MHz ఇప్పటికే పూర్తిగా సాధించగల ఫ్రీక్వెన్సీ. దురదృష్టవశాత్తూ, 1280x720 మోడ్ పరిమితి. Mars Rover2rpi బోర్డ్‌లో ఇన్‌స్టాల్ చేయబడిన మా సైక్లోన్ IV FPGAలో అధిక రిజల్యూషన్ సాధించబడదు.

కాబట్టి, డిజైన్‌లో, ఇన్‌పుట్ పిక్సెల్ ఫ్రీక్వెన్సీ CLK PLLకి వెళుతుంది, ఇక్కడ అది 5 ద్వారా గుణించబడుతుంది. ఈ ఫ్రీక్వెన్సీ వద్ద, R, G, B బైట్‌లు బిట్ జతలుగా మార్చబడతాయి. ఇది TMDS ఎన్‌కోడర్ చేస్తుంది. వెరిలాగ్ HDLలోని సోర్స్ కోడ్ ఇలా కనిపిస్తుంది:

module hdmi(
	input wire pixclk,		// 74MHz
	input wire clk_TMDS2,	// 370MHz
	input wire hsync,
	input wire vsync,
	input wire active,
	input wire [7:0]red,
	input wire [7:0]green,
	input wire [7:0]blue,
	output wire TMDS_bh,
	output wire TMDS_bl,
	output wire TMDS_gh,
	output wire TMDS_gl,
	output wire TMDS_rh,
	output wire TMDS_rl
);

wire [9:0] TMDS_red, TMDS_green, TMDS_blue;
TMDS_encoder encode_R(.clk(pixclk), .VD(red  ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_red));
TMDS_encoder encode_G(.clk(pixclk), .VD(green), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_green));
TMDS_encoder encode_B(.clk(pixclk), .VD(blue ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_blue));

reg [2:0] TMDS_mod5=0;  // modulus 5 counter
reg [4:0] TMDS_shift_bh=0, TMDS_shift_bl=0;
reg [4:0] TMDS_shift_gh=0, TMDS_shift_gl=0;
reg [4:0] TMDS_shift_rh=0, TMDS_shift_rl=0;

wire [4:0] TMDS_blue_l  = {TMDS_blue[9],TMDS_blue[7],TMDS_blue[5],TMDS_blue[3],TMDS_blue[1]};
wire [4:0] TMDS_blue_h  = {TMDS_blue[8],TMDS_blue[6],TMDS_blue[4],TMDS_blue[2],TMDS_blue[0]};
wire [4:0] TMDS_green_l = {TMDS_green[9],TMDS_green[7],TMDS_green[5],TMDS_green[3],TMDS_green[1]};
wire [4:0] TMDS_green_h = {TMDS_green[8],TMDS_green[6],TMDS_green[4],TMDS_green[2],TMDS_green[0]};
wire [4:0] TMDS_red_l   = {TMDS_red[9],TMDS_red[7],TMDS_red[5],TMDS_red[3],TMDS_red[1]};
wire [4:0] TMDS_red_h   = {TMDS_red[8],TMDS_red[6],TMDS_red[4],TMDS_red[2],TMDS_red[0]};

always @(posedge clk_TMDS2)
begin
	TMDS_shift_bh <= TMDS_mod5[2] ? TMDS_blue_h  : TMDS_shift_bh  [4:1];
	TMDS_shift_bl <= TMDS_mod5[2] ? TMDS_blue_l  : TMDS_shift_bl  [4:1];
	TMDS_shift_gh <= TMDS_mod5[2] ? TMDS_green_h : TMDS_shift_gh  [4:1];
	TMDS_shift_gl <= TMDS_mod5[2] ? TMDS_green_l : TMDS_shift_gl  [4:1];
	TMDS_shift_rh <= TMDS_mod5[2] ? TMDS_red_h   : TMDS_shift_rh  [4:1];
	TMDS_shift_rl <= TMDS_mod5[2] ? TMDS_red_l   : TMDS_shift_rl  [4:1];
	TMDS_mod5 <= (TMDS_mod5[2]) ? 3'd0 : TMDS_mod5+3'd1;
end

assign TMDS_bh = TMDS_shift_bh[0];
assign TMDS_bl = TMDS_shift_bl[0];
assign TMDS_gh = TMDS_shift_gh[0];
assign TMDS_gl = TMDS_shift_gl[0];
assign TMDS_rh = TMDS_shift_rh[0];
assign TMDS_rl = TMDS_shift_rl[0];

endmodule

module TMDS_encoder(
	input clk,
	input [7:0] VD,	// video data (red, green or blue)
	input [1:0] CD,	// control data
	input VDE,  	// video data enable, to choose between CD (when VDE=0) and VD (when VDE=1)
	output reg [9:0] TMDS = 0
);

wire [3:0] Nb1s = VD[0] + VD[1] + VD[2] + VD[3] + VD[4] + VD[5] + VD[6] + VD[7];
wire XNOR = (Nb1s>4'd4) || (Nb1s==4'd4 && VD[0]==1'b0);
wire [8:0] q_m = {~XNOR, q_m[6:0] ^ VD[7:1] ^ {7{XNOR}}, VD[0]};

reg [3:0] balance_acc = 0;
wire [3:0] balance = q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7] - 4'd4;
wire balance_sign_eq = (balance[3] == balance_acc[3]);
wire invert_q_m = (balance==0 || balance_acc==0) ? ~q_m[8] : balance_sign_eq;
wire [3:0] balance_acc_inc = balance - ({q_m[8] ^ ~balance_sign_eq} & ~(balance==0 || balance_acc==0));
wire [3:0] balance_acc_new = invert_q_m ? balance_acc-balance_acc_inc : balance_acc+balance_acc_inc;
wire [9:0] TMDS_data = {invert_q_m, q_m[8], q_m[7:0] ^ {8{invert_q_m}}};
wire [9:0] TMDS_code = CD[1] ? (CD[0] ? 10'b1010101011 : 10'b0101010100) : (CD[0] ? 10'b0010101011 : 10'b1101010100);

always @(posedge clk) TMDS <= VDE ? TMDS_data : TMDS_code;
always @(posedge clk) balance_acc <= VDE ? balance_acc_new : 4'h0;

endmodule

అప్పుడు అవుట్‌పుట్ జతలు DDIO అవుట్‌పుట్‌కి అందించబడతాయి, ఇది వరుసగా పెరుగుతున్న మరియు పడిపోతున్న అంచులపై ఒక-బిట్ సిగ్నల్‌ను ఉత్పత్తి చేస్తుంది.

DDIOని కింది వెరిలాగ్ కోడ్‌తో వివరించవచ్చు:

module ddio(
	input wire d0,
	input wire d1,
	input wire clk,
	output wire out
	);

reg r_d0;
reg r_d1;
always @(posedge clk)
begin
	r_d0 <= d0;
	r_d1 <= d1;
end
assign out = clk ? r_d0 : r_d1;
endmodule

కానీ ఇది చాలా మటుకు ఆ విధంగా పనిచేయదు. వాస్తవానికి DDIO అవుట్‌పుట్ ఎలిమెంట్‌లను ప్రారంభించడానికి మీరు Alter యొక్క మెగాఫంక్షన్ ALTDDIO_OUTని ఉపయోగించాలి. నా ప్రాజెక్ట్ ALTDDIO_OUT లైబ్రరీ భాగాన్ని ఉపయోగిస్తుంది.

ఇదంతా కొంచెం గమ్మత్తుగా అనిపించవచ్చు, కానీ ఇది పనిచేస్తుంది.

మీరు వెరిలాగ్ HDLలో వ్రాసిన అన్ని సోర్స్ కోడ్‌లను వీక్షించవచ్చు ఇక్కడ గితుబ్‌లో.

FPGA కోసం కంపైల్ చేయబడిన ఫర్మ్‌వేర్ Mars Rover2rpi బోర్డ్‌లో ఇన్‌స్టాల్ చేయబడిన EPCS చిప్‌లోకి ఫ్లాష్ చేయబడింది. అందువలన, FPGA బోర్డ్‌కు పవర్ వర్తించబడినప్పుడు, FPGA ఫ్లాష్ మెమరీ నుండి ప్రారంభించబడుతుంది మరియు ప్రారంభం అవుతుంది.

ఇప్పుడు మనం రాస్ప్బెర్రీ యొక్క కాన్ఫిగరేషన్ గురించి కొంచెం మాట్లాడాలి.

నేను డెబియన్ బస్టర్, వెర్షన్: ఆగస్టు 32 ఆధారంగా రాస్ప్‌బెర్రీ PI OS (2020 బిట్)పై ప్రయోగాలు చేస్తున్నాను.
విడుదల తేదీ: 2020-08-20, కెర్నల్ వెర్షన్: 5.4.

మీరు రెండు పనులు చేయాలి:

  • config.txt ఫైల్‌ను సవరించండి;
  • రెండు మానిటర్‌లతో పని చేయడానికి X సర్వర్ కాన్ఫిగరేషన్‌ను సృష్టించండి.

/boot/config.txt ఫైల్‌ను సవరించేటప్పుడు మీకు ఇది అవసరం:

  1. i2c, i2s, spi వినియోగాన్ని నిలిపివేయండి;
  2. ఓవర్‌లే dtoverlay=dpi24ని ఉపయోగించి DPI మోడ్‌ను ప్రారంభించండి;
  3. వీడియో మోడ్ 1280×720 60Hz, DPIలో పిక్సెల్‌కు 24 బిట్‌లను కాన్ఫిగర్ చేయండి;
  4. అవసరమైన ఫ్రేమ్‌బఫర్‌ల సంఖ్యను పేర్కొనండి 2 (max_framebuffers=2, అప్పుడు మాత్రమే రెండవ పరికరం /dev/fb1 కనిపిస్తుంది)

config.txt ఫైల్ పూర్తి టెక్స్ట్ ఇలా కనిపిస్తుంది.

# For more options and information see
# http://rpf.io/configtxt
# Some settings may impact device functionality. See link above for details

# uncomment if you get no picture on HDMI for a default "safe" mode
#hdmi_safe=1

# uncomment this if your display has a black border of unused pixels visible
# and your display can output without overscan
disable_overscan=1

# uncomment the following to adjust overscan. Use positive numbers if console
# goes off screen, and negative if there is too much border
#overscan_left=16
#overscan_right=16
#overscan_top=16
#overscan_bottom=16

# uncomment to force a console size. By default it will be display's size minus
# overscan.
#framebuffer_width=1280
#framebuffer_height=720

# uncomment if hdmi display is not detected and composite is being output
hdmi_force_hotplug=1

# uncomment to force a specific HDMI mode (this will force VGA)
#hdmi_group=1
#hdmi_mode=1

# uncomment to force a HDMI mode rather than DVI. This can make audio work in
# DMT (computer monitor) modes
#hdmi_drive=2

# uncomment to increase signal to HDMI, if you have interference, blanking, or
# no display
#config_hdmi_boost=4

# uncomment for composite PAL
#sdtv_mode=2

#uncomment to overclock the arm. 700 MHz is the default.
#arm_freq=800

# Uncomment some or all of these to enable the optional hardware interfaces
#dtparam=i2c_arm=on
#dtparam=i2s=on
#dtparam=spi=on

dtparam=i2c_arm=off
dtparam=spi=off
dtparam=i2s=off

dtoverlay=dpi24
overscan_left=0
overscan_right=0
overscan_top=0
overscan_bottom=0
framebuffer_width=1280
framebuffer_height=720
display_default_lcd=0
enable_dpi_lcd=1
dpi_group=2
dpi_mode=87
#dpi_group=1
#dpi_mode=4
dpi_output_format=0x6f027
dpi_timings=1280 1 110 40 220 720 1 5 5 20 0 0 0 60 0 74000000 3

# Uncomment this to enable infrared communication.
#dtoverlay=gpio-ir,gpio_pin=17
#dtoverlay=gpio-ir-tx,gpio_pin=18

# Additional overlays and parameters are documented /boot/overlays/README

# Enable audio (loads snd_bcm2835)
dtparam=audio=on

[pi4]
# Enable DRM VC4 V3D driver on top of the dispmanx display stack
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

[all]
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

దీని తర్వాత, మీరు రెండు ఫ్రేమ్‌బఫర్‌లలో /dev/fb0 మరియు /dev/fb1లో రెండు మానిటర్‌లను ఉపయోగించడానికి X సర్వర్ కోసం కాన్ఫిగరేషన్ ఫైల్‌ను సృష్టించాలి:

నా కాన్ఫిగరేషన్ ఫైల్ /usr/share/x11/xorg.conf.d/60-dualscreen.conf ఇలా ఉంది

Section "Device"
        Identifier      "LCD"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb0"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Device"
        Identifier      "HDMI"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb1"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Monitor"
        Identifier      "LCD-monitor"
        Option          "Primary" "true"
EndSection

Section "Monitor"
        Identifier      "HDMI-monitor"
        Option          "RightOf" "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen0"
        Device          "LCD"
        Monitor         "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen1"
        Device          "HDMI" 
	Monitor         "HDMI-monitor"
EndSection

Section "ServerLayout"
        Identifier      "default"
        Option          "Xinerama" "on"
        Option          "Clone" "off"
        Screen 0        "screen0"
        Screen 1        "screen1" RightOf "screen0"
EndSection

సరే, ఇది ఇప్పటికే ఇన్‌స్టాల్ చేయకపోతే, మీరు Xinerama ని ఇన్‌స్టాల్ చేయాలి. పై డెమో వీడియోలో చూపిన విధంగా డెస్క్‌టాప్ స్థలం పూర్తిగా రెండు మానిటర్‌లకు విస్తరించబడుతుంది.

బహుశా అంతే. ఇప్పుడు, Raspberry Pi3 యజమానులు రెండు మానిటర్‌లను ఉపయోగించగలరు.

Mars Rover2rpi బోర్డు యొక్క వివరణ మరియు సర్క్యూట్ రేఖాచిత్రం చూడవచ్చు ఇక్కడ చూడండి.

మూలం: www.habr.com