Фото -
Бо
Якчанд сол пеш инженерони Intel
Сарфи назар аз зиёд будани микдори асбобхои ёрирасон, инженерон хануз хам мачбуранд, ки баъзе корхоро дастй ичро кунанд. Муаллифони китоби "
Синтези пешрафтаи мантиқӣ "мегӯянд, ки баъзан дизайнерҳобояд скриптҳоро дар Skill ё Python ду миллион сатр нависед, то китобхонаҳо боҳуҷайраҳо .Скриптҳо инчунин барои таҳлили ҳисоботҳое, ки аз ҷониби системаҳои EDA тавлид мешаванд, навишта мешаванд. Ҳангоми таҳияи чип бо истифода аз технологияи раванди 22 нм, ин гузоришҳо метавонанд то 30 терабайтро дар бар гиранд.
DARPA тасмим гирифт, ки вазъиятро ислоҳ кунад ва кӯшиш кунад, ки равандҳои тарроҳиро стандартизатсия кунад. Дар агенти низ
Чӣ гуна барнома
Барнома якчанд лоиҳаҳоро дар бар мегирад, ки омӯзиши мошинсозӣ ва технологияҳои абриро барои автоматикунонии марҳилаҳои алоҳидаи эҷоди чип истифода мебаранд. Дар доираи ташаббус
Давандаи ҷараён воситаи идоракунии китобхонаҳои RTL ва GDSII мебошад. Охирин файлҳои пойгоҳи додаҳо мебошанд, ки стандарти саноатӣ барои табодули маълумот дар бораи микросхемаҳои интегралӣ ва топологияҳои онҳо мебошанд. Ҳалли он ба технологияи контейнерии Docker асос ёфтааст. Шумо метавонед Flow Runner -ро ҳам дар абр ва ҳам ба таври маҳаллӣ иҷро кунед. Дастури насбкунӣ дар анбори расмӣ мавҷуд аст
Ҷойгир ҳалли абрӣ дар асоси омӯзиши мошинист, ки барои ҷойгиркунии ҷузъҳо дар чип ва автоматикунонии масир масъул аст. Аз ҷониби
TritonCTS — утилита барои оптимизатсияи импулсҳои соати ба чип додашуда. Кӯмак мекунад, ки сигналҳои соат ба ҳамаи қисмҳои дастгоҳ бо ҳамон таъхирҳо равона карда шаванд. Принсипи амалиёт ба он асос ёфтааст
OpenSTA — муҳаррик барои таҳлили вақти статикӣ. Он ба конструктор имкон медиҳад, ки кори чипро пеш аз ҷамъоварӣ тафтиш кунад. Намунаи код дар OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
Утилита тавсифи netlist рамзи Verilog, китобхонаҳои формати Liberty, файлҳои SDC ва ғайраҳоро дастгирӣ мекунад.
Афзалиятҳо ва камбудиҳо
Коршиносони IBM ва IEEE
Инчунин интизор меравад, ки табиати кушодаи OpenROAD як ҷомеаи пурқувватро дар атрофи асбобҳо эҷод мекунад ва стартапҳои навро ҷалб мекунад.
Фото -
Ҳоло иштирокчиён ҳастанд - лабораторияе, ки микросхемаҳои таҳиякунанда дар Донишгоҳи Мичиган,
Дар маҷмӯъ, абзорҳое, ки таҳти роҳбарии DARPA таҳия мешаванд, интизор меравад, ки ба саноати коркардкунанда таъсири мусбӣ расонанд ва дар ин самт лоиҳаҳои нав ба вуҷуд меоянд. Намунае як асбоб хоҳад буд
Воситаҳои дастраси озод ба созмонҳои мустақил ва стартапҳо имконоти бештар медиҳанд. Мумкин аст, ки бо мурури замон равишҳои нави OpenROAD ба таҳияи асбобҳои EDA ва тарҳрезии чипҳо метавонанд ба стандарти саноатӣ табдил ёбанд.
Он чизе ки мо дар блоги корпоративии худ менависем:
Unboxing: Cisco UCS B480 M5 Blade Server Қуттии кушода: системаи нигаҳдории ҳама флешдор NetApp AFF A300 - назар аз дарун Серверҳои зичии баланд: таҳлили қарорҳо Cisco UCS - кушодан
Манбаъ: will.com