Муҳокима: Лоиҳаи OpenROAD ният дорад, ки мушкилоти автоматикунонии тарҳрезии протсессоро ҳал кунад

Муҳокима: Лоиҳаи OpenROAD ният дорад, ки мушкилоти автоматикунонии тарҳрезии протсессоро ҳал кунад
Фото - Pexels — CC BY

Бо дода шудааст PWC, бозори технологияи нимноқилҳо афзоиш меёбад - соли гузашта он ба 481 миллиард доллар расид. Аммо суръати афзоиши он вактхои охир снизилис. Дар байни сабабхои камшавй мураккаб будани процессхои лоихакашии дастгоххо ва набудани автоматиконй мебошад.

Якчанд сол пеш инженерони Intel навиштаастки хангоми сохтани микропросессори сермахсул шумо бояд 100—150 асбоби алохидаи нармафзорро истифода баред (ЭДА). Вазъият метавонад дар мавриди дастгоҳҳои гетерогенӣ, ки меъмории онҳо якчанд намуди микросхемаҳои гуногун - ASIC, FPGA, CPU ё GPU -ро дар бар мегирад, бадтар шавад. Дар натича хатохои лоихавй руй медиханд, ки баровардани махсулотро ба таъхир меандозанд.

Сарфи назар аз зиёд будани микдори асбобхои ёрирасон, инженерон хануз хам мачбуранд, ки баъзе корхоро дастй ичро кунанд. Муаллифони китоби "Синтези пешрафтаи мантиқӣ"мегӯянд, ки баъзан дизайнерҳо бояд скриптҳоро дар Skill ё Python ду миллион сатр нависед, то китобхонаҳо бо ҳуҷайраҳо.

Скриптҳо инчунин барои таҳлили ҳисоботҳое, ки аз ҷониби системаҳои EDA тавлид мешаванд, навишта мешаванд. Ҳангоми таҳияи чип бо истифода аз технологияи раванди 22 нм, ин гузоришҳо метавонанд то 30 терабайтро дар бар гиранд.

DARPA тасмим гирифт, ки вазъиятро ислоҳ кунад ва кӯшиш кунад, ки равандҳои тарроҳиро стандартизатсия кунад. Дар агенти низ баррасӣ кунедки усулхои мавчудаи сохтани чипхо кухна шудаанд. Ташкилот сар кард программам панчсола OpenROAD, ки максад аз он сохтани асбобхои нав барои автоматикунонии процессхои лоихакашии чипхо мебошад.

Чӣ гуна барнома

Барнома якчанд лоиҳаҳоро дар бар мегирад, ки омӯзиши мошинсозӣ ва технологияҳои абриро барои автоматикунонии марҳилаҳои алоҳидаи эҷоди чип истифода мебаранд. Дар доираи ташаббус инкишоф дода мешаванд (диаграммаи 1) зиёда аз дах асбоб. Минбаъд мо дар бораи баъзеи онҳо муфассалтар сӯҳбат хоҳем кард: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Давандаи ҷараён воситаи идоракунии китобхонаҳои RTL ва GDSII мебошад. Охирин файлҳои пойгоҳи додаҳо мебошанд, ки стандарти саноатӣ барои табодули маълумот дар бораи микросхемаҳои интегралӣ ва топологияҳои онҳо мебошанд. Ҳалли он ба технологияи контейнерии Docker асос ёфтааст. Шумо метавонед Flow Runner -ро ҳам дар абр ва ҳам ба таври маҳаллӣ иҷро кунед. Дастури насбкунӣ дар анбори расмӣ мавҷуд аст дар GitHub.

Ҷойгир ҳалли абрӣ дар асоси омӯзиши мошинист, ки барои ҷойгиркунии ҷузъҳо дар чип ва автоматикунонии масир масъул аст. Аз ҷониби баъзе маълумот, алгоритмҳои интеллектуалӣ самаранокии асбобро нисбат ба системаҳои классикӣ 2-10% зиёд мекунанд. Илова бар ин, татбиқ дар абр миқёсро осон мекунад. Дастури насб ва конфигуратсия низ дастрас аст дар анбор.

TritonCTS — утилита барои оптимизатсияи импулсҳои соати ба чип додашуда. Кӯмак мекунад, ки сигналҳои соат ба ҳамаи қисмҳои дастгоҳ бо ҳамон таъхирҳо равона карда шаванд. Принсипи амалиёт ба он асос ёфтааст H-дарахтон. Ин равиш такмил меёбад самаранокии тақсимоти сигнал дар муқоиса бо усулҳои анъанавӣ 30%. Таҳиягарон мегӯянд, ки дар оянда ин рақам метавонад то 56% афзоиш ёбад. Рамзи сарчашма ва скриптҳои TritonCTS дастрасанд дар GitHub.

OpenSTA — муҳаррик барои таҳлили вақти статикӣ. Он ба конструктор имкон медиҳад, ки кори чипро пеш аз ҷамъоварӣ тафтиш кунад. Намунаи код дар OpenSTA чунин менамояд монанди ин.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Утилита тавсифи netlist рамзи Verilog, китобхонаҳои формати Liberty, файлҳои SDC ва ғайраҳоро дастгирӣ мекунад.

Афзалиятҳо ва камбудиҳо

Коршиносони IBM ва IEEE ҷашн мегирандки технологияи абрӣ ва омӯзиши мошинсозӣ барои истифода дар истеҳсоли чип кайҳо ба таъхир афтодааст. Ба андешаи онҳо, лоиҳаи DARPA метавонад намунаи муваффақи татбиқи ин идея гардад ва мегузорад ибтидои дигаргунихо дар саноат.

Инчунин интизор меравад, ки табиати кушодаи OpenROAD як ҷомеаи пурқувватро дар атрофи асбобҳо эҷод мекунад ва стартапҳои навро ҷалб мекунад.

Муҳокима: Лоиҳаи OpenROAD ният дорад, ки мушкилоти автоматикунонии тарҳрезии протсессоро ҳал кунад
Фото - Pexels — CC BY

Ҳоло иштирокчиён ҳастанд - лабораторияе, ки микросхемаҳои таҳиякунанда дар Донишгоҳи Мичиган, аввалин мешавад, ки абзорҳои кушодаасос OpenROAD-ро месанҷад. Аммо ҳанӯз маълум нест, ки оё қарорҳои нав метавонанд ба арзиши маҳсулоти ниҳоӣ таъсири назаррас дошта бошанд.

Дар маҷмӯъ, абзорҳое, ки таҳти роҳбарии DARPA таҳия мешаванд, интизор меравад, ки ба саноати коркардкунанда таъсири мусбӣ расонанд ва дар ин самт лоиҳаҳои нав ба вуҷуд меоянд. Намунае як асбоб хоҳад буд gEDA — он ба шумо имкон медихад, ки чипхо бо шумораи номахдуди компонентхо тарроҳӣ кунед. gEDA утилитаҳо барои таҳрир ва моделсозии микросхемаҳо ва масири тахтаро дар бар мегирад. Ҳал барои платформаҳои UNIX таҳия шудааст, аммо як қатор ҷузъҳои он дар зери Windows низ кор мекунанд. Дастур оид ба кор бо онхо пайдо кардан мумкин аст дар ҳуҷҷатҳо дар вебсайти лоиҳа.

Воситаҳои дастраси озод ба созмонҳои мустақил ва стартапҳо имконоти бештар медиҳанд. Мумкин аст, ки бо мурури замон равишҳои нави OpenROAD ба таҳияи асбобҳои EDA ва тарҳрезии чипҳо метавонанд ба стандарти саноатӣ табдил ёбанд.

Он чизе ки мо дар блоги корпоративии худ менависем:

Манбаъ: will.com

Илова Эзоҳ