Google имкони истеҳсоли ройгони партияҳои озмоишии микросхемаҳои кушодаро фароҳам овард

Google дар ҳамкорӣ бо ширкатҳои истеҳсолии SkyWater Technology ва Efables ташаббусеро оғоз кард, ки ба таҳиягарони сахтафзори кушод имкон медиҳад, ки чипҳои таҳиякардаи худро ройгон созанд. Ҳадафи ин ташаббус ҳавасмандгардонии рушди таҷҳизоти кушода, кам кардани хароҷот барои таҳияи лоиҳаҳои кушода ва содда кардани ҳамкорӣ бо корхонаҳои истеҳсолӣ мебошад. Ба шарофати ин ташаббус, ҳар кас метавонад бидуни тарси хароҷоти баланди истеҳсоли прототипҳои ибтидоӣ ба таҳияи чипҳои фармоишии худ шурӯъ кунад. Ҳама хароҷоти истеҳсол, бастабандӣ ва интиқол аз ҷониби Google пардохт карда мешавад.

Дархостҳо барои дохил шудан ба барномаи истеҳсолии ройгон метавонанд дар ду моҳ як маротиба пешниҳод карда шаванд. Наздиктарин слот рӯзи 8 июн баста мешавад ва чипҳо, ки тавонистаанд ба он ворид шаванд, 30 август омода ва 18 октябр ба муаллифон фиристода мешаванд. Аз руи аризахои пешниходшуда 40 лоиха интихоб карда мешавад (агар аризахои пешниходшуда аз 40 кам бошанд, пас хамаи онхое, ки аз тафтиши дурустй гузаштаанд, дар истехсолот чорй карда мешаванд). Аз рӯи натиҷаҳои истеҳсолӣ, таҳиякунанда 50 чип ва 5 тахтаи дорои чипҳои насбшуда мегирад.

Дархостҳо танҳо аз лоиҳаҳое қабул карда мешаванд, ки пурра тибқи литсензияҳои кушода паҳн карда шудаанд, ки бо созишномаҳои ифшо накардан (NDAs) гарон нестанд ва доираи истифодаи маҳсулоти онҳоро маҳдуд намекунанд. Маълумот барои истеҳсол бояд дар формати GDSII интиқол дода шавад, маҷмӯи санҷишҳои пешниҳодшударо гузаронад ва аз файлҳои тарроҳии сарчашма дубора тавлид карда шавад (яъне лоиҳаи кушодаасос пешниҳод кунед, аммо шумо наметавонед тарҳи хусусиро барои истеҳсол пешниҳод кунед).

Барои содда кардани таҳияи чипи кушод, воситаҳои зерини кушодаасос мавҷуданд:

  • SkyWater PDK (Маҷмӯи тарҳрезии равандҳо), асбобест, ки раванди техникии 130 нм (SKY130) -ро тавсиф мекунад, ки дар нерӯгоҳи SkyWater истифода мешавад ва ба шумо имкон медиҳад, ки файлҳои тарроҳии барои истеҳсоли микросхемаҳо заруриро омода кунед.
  • OpenLane маҷмӯи ҷузъҳо барои табдили автоматии тарҳи схемаи RTL-и микросхемаҳои хоси барнома (ASIC) ба формати GDSII, ки дар корхонаҳои чип истифода мешаванд, мебошад.
    Google имкони истеҳсоли ройгони партияҳои озмоишии микросхемаҳои кушодаро фароҳам овард
  • XLS (Accelerated HW Synthesis) як абзорест барои синтез кардани файлҳои тарроҳӣ бо сахтафзори чип, ки ба тавсифи сатҳи баланди функсияҳои зарурӣ мувофиқат мекунад, ки дар услуби таҳияи нармафзор тарҳрезӣ шудааст.
  • Маҷмӯи қоидаҳо барои системаи васлкунии Bazel бо дастгирии асбобҳои кушода (Yosys, Verilator, OpenROAD) барои кор бо забонҳои тавсифи сахтафзор (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD чаҳорчӯбаи автоматикунонии раванди таҳияи микросхемаҳои кушодаасос мебошад.
  • Verible маҷмӯи асбобҳо барои таҳия дар забони Verilog, аз ҷумла таҳлилгар, системаи форматкунии услуб ва linter мебошад.

Манбаъ: opennet.ru

Илова Эзоҳ