ภาพถ่าย —
บน
เมื่อไม่กี่ปีก่อน วิศวกรจากอินเทล
แม้จะมีเครื่องมือเสริมจำนวนมาก แต่วิศวกรยังคงถูกบังคับให้ทำงานบางอย่างด้วยตนเอง ผู้เขียนหนังสือ "
การสังเคราะห์ลอจิกขั้นสูง “เขาว่ากันว่าบางครั้งนักออกแบบต้อง เขียนสคริปต์ใน Skill หรือ Python จำนวนสองล้านบรรทัดเพื่อสร้างไลบรารีด้วยเซลล์ .สคริปต์ยังถูกเขียนเพื่อแยกวิเคราะห์รายงานที่สร้างโดยระบบ EDA เมื่อพัฒนาชิปโดยใช้เทคโนโลยีการผลิต 22 นาโนเมตร รายงานเหล่านี้อาจใช้พื้นที่สูงสุด 30 เทราไบต์
DARPA ตัดสินใจแก้ไขสถานการณ์และพยายามสร้างมาตรฐานให้กับกระบวนการออกแบบ ที่หน่วยงานด้วย
โปรแกรมประเภทไหน
โปรแกรมนี้เกี่ยวข้องกับหลายโครงการที่ใช้การเรียนรู้ของเครื่องและเทคโนโลยีคลาวด์เพื่อสร้างชิปแต่ละขั้นตอนโดยอัตโนมัติ ซึ่งเป็นส่วนหนึ่งของความคิดริเริ่ม
นักวิ่งกระแส เป็นเครื่องมือสำหรับจัดการไลบรารี RTL และ GDSII ไฟล์หลังเป็นไฟล์ฐานข้อมูลที่เป็นมาตรฐานอุตสาหกรรมสำหรับการแลกเปลี่ยนข้อมูลเกี่ยวกับวงจรรวมและโทโพโลยี โซลูชันนี้ใช้เทคโนโลยีคอนเทนเนอร์ Docker คุณสามารถเรียกใช้ Flow Runner ได้ทั้งในระบบคลาวด์และภายในเครื่อง คู่มือการติดตั้งอยู่ในพื้นที่เก็บข้อมูลอย่างเป็นทางการ
แทนที่ เป็นโซลูชันระบบคลาวด์ที่ใช้การเรียนรู้ของเครื่อง ซึ่งมีหน้าที่ในการวางส่วนประกอบต่างๆ บนชิปและกำหนดเส้นทางอัตโนมัติ โดย
ไทรทันซีทีเอส — ยูทิลิตี้สำหรับปรับพัลส์นาฬิกาที่จ่ายให้กับชิปให้เหมาะสม ช่วยกำหนดเส้นทางสัญญาณนาฬิกาไปยังทุกส่วนของอุปกรณ์ด้วยความล่าช้าเท่ากัน หลักการทำงานจะขึ้นอยู่กับ
เปิดSTA — กลไกสำหรับการวิเคราะห์เวลาแบบคงที่ ช่วยให้นักออกแบบมีโอกาสตรวจสอบการทำงานของชิปก่อนที่จะประกอบจริง ตัวอย่างโค้ดใน OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
ยูทิลิตี้นี้รองรับคำอธิบาย netlist ของโค้ด Verilog, ไลบรารีรูปแบบ Liberty, ไฟล์ SDC ฯลฯ
ข้อดีและข้อเสีย
ผู้เชี่ยวชาญจาก IBM และ IEEE
นอกจากนี้ ยังคาดว่าลักษณะที่เปิดกว้างของ OpenROAD จะสร้างชุมชนที่มีประสิทธิภาพเกี่ยวกับเครื่องมือต่างๆ และดึงดูดสตาร์ทอัพรายใหม่
ภาพถ่าย —
มีผู้เข้าร่วมแล้ว - ห้องปฏิบัติการพัฒนาชิปที่มหาวิทยาลัยมิชิแกน
โดยรวมแล้ว เครื่องมือที่ได้รับการพัฒนาภายใต้การนำของ DARPA คาดว่าจะมีผลกระทบเชิงบวกต่ออุตสาหกรรมโปรเซสเซอร์ และจะเริ่มมีโครงการใหม่ๆ เกิดขึ้นในพื้นที่นี้มากขึ้น ตัวอย่างจะเป็นเครื่องมือ
เครื่องมือที่มีให้ใช้งานฟรีทำให้องค์กรอิสระและสตาร์ทอัพมีทางเลือกมากขึ้น เป็นไปได้ว่าเมื่อเวลาผ่านไป แนวทางใหม่ของ OpenROAD ในการพัฒนาเครื่องมือ EDA และการออกแบบชิปอาจกลายเป็นมาตรฐานอุตสาหกรรม
สิ่งที่เราเขียนเกี่ยวกับในบล็อกองค์กรของเรา:
แกะกล่อง: เซิร์ฟเวอร์ Cisco UCS B480 M5 Blade Unboxing: ระบบจัดเก็บข้อมูลแบบแฟลชทั้งหมด NetApp AFF A300 - มุมมองจากภายใน เซิร์ฟเวอร์ความหนาแน่นสูง: การวิเคราะห์โซลูชัน Cisco UCS - แกะกล่อง
ที่มา: will.com