Talakayan: Ang proyekto ng OpenROAD ay nagnanais na lutasin ang problema ng automation ng disenyo ng processor

Talakayan: Ang proyekto ng OpenROAD ay nagnanais na lutasin ang problema ng automation ng disenyo ng processor
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

Sa Ayon sa Ang PWC, ang merkado ng teknolohiya ng semiconductor ay lumalaki - noong nakaraang taon umabot ito ng $481 bilyon. Ngunit ang rate ng paglago nito kamakailan nabawasan. Kabilang sa mga dahilan ng pagbaba ay ang pagiging kumplikado ng mga proseso ng disenyo ng device at kakulangan ng automation.

Ilang taon na ang nakalilipas, ang mga inhinyero mula sa Intel nagsulatna kapag gumagawa ng isang high-performance na microprocessor kailangan mong gumamit ng 100–150 magkahiwalay na software tool (AT MULA SA). Ang sitwasyon ay maaaring lumala sa kaso ng mga heterogenous na aparato, ang arkitektura kung saan kasama ang ilang iba't ibang uri ng chips - ASIC, FPGA, CPU o GPU. Bilang resulta, nangyayari ang mga error sa disenyo na nakakaantala sa paglabas ng mga produkto.

Sa kabila ng malaking bilang ng mga pantulong na tool, pinipilit pa rin ang mga inhinyero na gawin ang ilang gawain nang manu-mano. Ang mga may-akda ng aklat "Advanced Logic Synthesis"sabi nila minsan designer kailangang sumulat ng mga script sa Skill o Python ng dalawang milyong linya upang lumikha ng mga aklatan gamit ang mga selula.

Ang mga script ay isinulat din upang i-parse ang mga ulat na nabuo ng mga sistema ng EDA. Kapag bumubuo ng isang chip gamit ang isang 22nm process technology, ang mga ulat na ito ay maaaring tumagal ng hanggang 30 terabytes.

Nagpasya ang DARPA na itama ang sitwasyon at subukang i-standardize ang mga proseso ng disenyo. Sa agency din isaalang-alangna ang mga umiiral na pamamaraan para sa paglikha ng mga chips ay luma na. Organisasyon inilunsad limang taong programa OpenROAD, na naglalayong bumuo ng mga bagong tool upang i-automate ang mga proseso ng disenyo ng chip.

Anong klaseng programa

Ang programa ay nagsasangkot ng ilang proyekto na gumagamit ng machine learning at mga teknolohiya sa cloud para i-automate ang mga indibidwal na yugto ng paggawa ng chip. Bilang bahagi ng inisyatiba ay binuo (dayagram 1) higit sa sampung instrumento. Susunod ay pag-uusapan natin nang mas detalyado ang ilan sa mga ito: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Daloy Runner ay isang tool para sa pamamahala ng mga aklatan ng RTL at GDSII. Ang huli ay mga file ng database na isang pamantayan sa industriya para sa pagpapalitan ng impormasyon tungkol sa mga integrated circuit at kanilang mga topolohiya. Ang solusyon ay batay sa teknolohiya ng lalagyan ng Docker. Maaari mong patakbuhin ang Flow Runner sa cloud at lokal. Ang gabay sa pag-install ay nasa opisyal na imbakan sa GitHub.

RePlAce ay isang solusyon sa ulap batay sa machine learning, na responsable para sa paglalagay ng mga bahagi sa isang chip at pag-automate ng pagruruta. Sa pamamagitan ng ilang data, pinapataas ng mga matalinong algorithm ang kahusayan ng tool ng 2–10% kumpara sa mga klasikal na system. Bilang karagdagan, pinapadali ng pagpapatupad sa cloud ang pag-scale. Available din ang gabay sa pag-install at pagsasaayos sa imbakan.

TritonCTS β€” isang utility para sa pag-optimize ng mga pulso ng orasan na ibinibigay sa chip. Tumutulong na iruta ang mga signal ng orasan sa lahat ng bahagi ng device na may parehong pagkaantala. Ang prinsipyo ng pagpapatakbo ay batay sa H-puno. Ang diskarteng ito nagtaas ang kahusayan ng pamamahagi ng signal ng 30% kumpara sa mga tradisyonal na pamamaraan. Sinasabi ng mga developer na sa hinaharap ang figure na ito ay maaaring tumaas sa 56%. Available ang source code at script ng TritonCTS sa GitHub.

OpenSTA β€” isang makina para sa pagtatasa ng static na timing. Binibigyan nito ang taga-disenyo ng pagkakataon na suriin ang pag-andar ng chip bago ito aktwal na binuo. Halimbawang code sa OpenSTA mukhang ganyan.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Sinusuportahan ng utility ang mga paglalarawan sa netlist ng Verilog code, Liberty format library, SDC file, atbp.

Mga kalamangan at disadvantages

Mga eksperto mula sa IBM at IEEE magdiwangna ang mga teknolohiya sa cloud at machine learning ay matagal nang nakatakdang gamitin sa paggawa ng chip. Sa kanilang opinyon, ang proyekto ng DARPA ay maaaring maging isang matagumpay na halimbawa ng pagpapatupad ng ideyang ito at maglalagay ang simula ng mga pagbabago sa industriya.

Inaasahan din na ang bukas na kalikasan ng OpenROAD ay lilikha ng isang malakas na komunidad sa paligid ng mga tool at makaakit ng mga bagong startup.

Talakayan: Ang proyekto ng OpenROAD ay nagnanais na lutasin ang problema ng automation ng disenyo ng processor
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

Mayroon nang mga kalahok - isang laboratoryo sa pagbuo ng mga chip na nakabase sa University of Michigan, magiging una, na susubok ng OpenROAD open source tool. Ngunit hindi pa alam kung ang mga bagong solusyon ay magkakaroon ng kapansin-pansing epekto sa halaga ng mga huling produkto.

Sa pangkalahatan, ang mga tool na binuo sa ilalim ng pamumuno ng DARPA ay inaasahang magkakaroon ng positibong epekto sa industriya ng processor, at mas maraming bagong proyekto ang magsisimulang lumabas sa lugar na ito. Ang isang halimbawa ay isang kasangkapan gEDA β€” pinapayagan ka nitong magdisenyo ng mga chip na may walang limitasyong bilang ng mga bahagi. Kasama sa gEDA ang mga utility para sa pag-edit at pagmomodelo ng mga microcircuits at board routing. Ang solusyon ay binuo para sa mga platform ng UNIX, ngunit ang isang bilang ng mga bahagi nito ay gumagana din sa ilalim ng Windows. Ang isang gabay sa pakikipagtulungan sa kanila ay matatagpuan sa dokumentasyon sa website ng proyekto.

Ang mga malayang magagamit na tool ay nagbibigay sa mga independiyenteng organisasyon at mga startup ng mas maraming opsyon. Posible na sa paglipas ng panahon, ang mga bagong diskarte ng OpenROAD sa EDA tool development at chip design ay maaaring maging isang pamantayan sa industriya.

Tungkol sa kung ano ang isinusulat namin sa aming corporate blog:

Pinagmulan: www.habr.com

Magdagdag ng komento