بحث: OpenROAD پروجیکٹ پروسیسر ڈیزائن کے آٹومیشن کے مسئلے کو حل کرنے کا ارادہ رکھتا ہے۔

بحث: OpenROAD پروجیکٹ پروسیسر ڈیزائن کے آٹومیشن کے مسئلے کو حل کرنے کا ارادہ رکھتا ہے۔
Фото - Pexels - CC BY

پر دیا PWC، سیمی کنڈکٹر ٹیکنالوجی مارکیٹ بڑھ رہی ہے - پچھلے سال یہ $481 بلین تک پہنچ گئی۔ لیکن حال ہی میں اس کی ترقی کی شرح کمی. کمی کی وجوہات میں ڈیوائس ڈیزائن کے مبہم عمل اور آٹومیشن کی کمی شامل ہے۔

کچھ سال پہلے، انٹیل سے انجینئرز писалиکہ ایک اعلیٰ کارکردگی کا مائیکرو پروسیسر بناتے وقت آپ کو 100-150 الگ الگ سافٹ ویئر ٹولز استعمال کرنا ہوں گے (ای ڈی اے)۔ متضاد آلات کے معاملے میں صورتحال مزید خراب ہوسکتی ہے، جس کے فن تعمیر میں کئی مختلف قسم کے چپس شامل ہیں - ASIC، FPGA، CPU یا GPU۔ نتیجے کے طور پر، ڈیزائن کی غلطیاں ہوتی ہیں جو مصنوعات کی رہائی میں تاخیر کرتی ہیں.

بڑی تعداد میں معاون آلات کے باوجود انجینئرز اب بھی کچھ کام دستی طور پر کرنے پر مجبور ہیں۔ کتاب کے مصنفین "اعلی درجے کی منطق کی ترکیب"وہ کہتے ہیں کہ کبھی کبھی ڈیزائنرز کرنا پڑے گا کے ساتھ لائبریریاں بنانے کے لیے 20 لاکھ لائنوں کی Skill یا Python میں اسکرپٹ لکھیں۔ خلیات.

EDA سسٹمز کے ذریعے تیار کردہ رپورٹس کو پارس کرنے کے لیے بھی اسکرپٹ لکھے جاتے ہیں۔ 22nm پروسیس ٹیکنالوجی کا استعمال کرتے ہوئے ایک چپ تیار کرتے وقت، یہ رپورٹس 30 ٹیرا بائٹس تک لے سکتی ہیں۔

DARPA نے صورتحال کو درست کرنے اور ڈیزائن کے عمل کو معیاری بنانے کی کوشش کرنے کا فیصلہ کیا۔ ایجنسی میں بھی غور کریں۔کہ چپس بنانے کے موجودہ طریقے پرانے ہیں۔ تنظیم لانچ کیا گیا پانچ سالہ پروگرام کھلی سڑکجس کا مقصد چپ ڈیزائن کے عمل کو خودکار کرنے کے لیے نئے ٹولز تیار کرنا ہے۔

کیسا پروگرام ہے؟

اس پروگرام میں کئی پروجیکٹس شامل ہیں جو مشین لرننگ اور کلاؤڈ ٹیکنالوجیز کا استعمال کرتے ہیں تاکہ چپ کی تخلیق کے انفرادی مراحل کو خودکار بنایا جا سکے۔ پہل کے حصے کے طور پر تیار کیا جا رہا ہے (ڈائیگرام 1) دس سے زیادہ آلات۔ آگے ہم ان میں سے کچھ کے بارے میں مزید تفصیل سے بات کریں گے: Flow Runner, RePlAce, TritonCTS, OpenSTA۔

فلو رنر RTL اور GDSII لائبریریوں کے انتظام کے لیے ایک ٹول ہے۔ مؤخر الذکر ڈیٹا بیس فائلیں ہیں جو مربوط سرکٹس اور ان کے ٹوپولاجیز کے بارے میں معلومات کے تبادلے کے لیے ایک صنعتی معیار ہیں۔ حل ڈوکر کنٹینر ٹیکنالوجی پر مبنی ہے۔ آپ فلو رنر کو کلاؤڈ اور مقامی طور پر چلا سکتے ہیں۔ انسٹالیشن گائیڈ آفیشل ریپوزٹری میں ہے۔ GitHub پر.

RePlAce مشین لرننگ پر مبنی کلاؤڈ حل ہے، جو چپ پر اجزاء رکھنے اور روٹنگ کو خودکار کرنے کا ذمہ دار ہے۔ کی طرف سے کچھ ڈیٹا، ذہین الگورتھم کلاسیکی نظاموں کے مقابلے ٹول کی کارکردگی میں 2–10% اضافہ کرتے ہیں۔ اس کے علاوہ، کلاؤڈ میں لاگو کرنا اسکیلنگ کو آسان بناتا ہے۔ انسٹالیشن اور کنفیگریشن گائیڈ بھی دستیاب ہے۔ ذخیرہ میں.

ٹریٹن سی ٹی ایس - چپ کو فراہم کردہ گھڑی کی دالوں کو بہتر بنانے کے لیے ایک افادیت۔ یکساں تاخیر کے ساتھ آلے کے تمام حصوں تک گھڑی کے سگنل کو روٹ کرنے میں مدد کرتا ہے۔ آپریٹنگ اصول پر مبنی ہے H-درخت. یہ نقطہ نظر اٹھاتا ہے۔ روایتی طریقوں کے مقابلے میں سگنل کی تقسیم کی کارکردگی 30 فیصد۔ ڈویلپرز کا کہنا ہے کہ مستقبل میں یہ تعداد 56 فیصد تک بڑھائی جا سکتی ہے۔ TritonCTS سورس کوڈ اور اسکرپٹ دستیاب ہیں۔ GitHub پر.

اوپن ایس ٹی اے - جامد وقت کے تجزیہ کے لیے ایک انجن۔ یہ ڈیزائنر کو چپ کے اصل میں جمع ہونے سے پہلے اس کی فعالیت کو چیک کرنے کا موقع فراہم کرتا ہے۔ OpenSTA میں مثال کوڈ کی طرح لگتا ہے اس طرح

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

یوٹیلیٹی ویریلوگ کوڈ، لبرٹی فارمیٹ لائبریریوں، ایس ڈی سی فائلوں وغیرہ کی نیٹ لسٹ وضاحتوں کو سپورٹ کرتی ہے۔

فوائد اور نقصانات

IBM اور IEEE کے ماہرین مناناکہ کلاؤڈ ٹیکنالوجیز اور مشین لرننگ چپ پروڈکشن میں استعمال کے لیے کافی عرصے سے التوا میں ہیں۔ ان کی رائے میں، DARPA منصوبہ اس خیال کے نفاذ کی ایک کامیاب مثال بن سکتا ہے۔ ڈال دیں گے صنعت میں تبدیلیوں کا آغاز۔

یہ بھی توقع کی جاتی ہے کہ OpenROAD کی کھلی نوعیت ٹولز کے ارد گرد ایک طاقتور کمیونٹی بنائے گی اور نئے اسٹارٹ اپس کو راغب کرے گی۔

بحث: OpenROAD پروجیکٹ پروسیسر ڈیزائن کے آٹومیشن کے مسئلے کو حل کرنے کا ارادہ رکھتا ہے۔
Фото - Pexels - CC BY

وہاں پہلے سے ہی شرکاء موجود ہیں - مشی گن یونیورسٹی کی بنیاد پر چپس تیار کرنے والی لیبارٹری، سب سے پہلے ہو گا، جو OpenROAD اوپن سورس ٹولز کی جانچ کرے گا۔ لیکن ابھی تک یہ معلوم نہیں ہے کہ آیا نئے حل حتمی مصنوعات کی قیمت پر نمایاں اثر ڈال سکیں گے۔

مجموعی طور پر، DARPA کی قیادت میں تیار کیے جانے والے آلات سے پروسیسر کی صنعت پر مثبت اثرات مرتب ہوں گے، اور اس علاقے میں مزید نئے منصوبے سامنے آنا شروع ہوں گے۔ ایک مثال ایک ٹول ہوگی۔ گیڈا - یہ آپ کو اجزاء کی لامحدود تعداد کے ساتھ چپس ڈیزائن کرنے کی اجازت دیتا ہے۔ جی ای ڈی اے میں مائیکرو سرکٹس اور بورڈ روٹنگ میں ترمیم اور ماڈلنگ کی افادیت شامل ہے۔ حل UNIX پلیٹ فارمز کے لیے تیار کیا گیا تھا، لیکن اس کے متعدد اجزاء ونڈوز کے تحت بھی کام کرتے ہیں۔ ان کے ساتھ کام کرنے کے لیے ایک گائیڈ مل سکتا ہے۔ منصوبے کی ویب سائٹ پر دستاویزات میں.

آزادانہ طور پر دستیاب ٹولز آزاد تنظیموں اور اسٹارٹ اپس کو مزید اختیارات فراہم کرتے ہیں۔ یہ ممکن ہے کہ وقت گزرنے کے ساتھ ساتھ EDA ٹول ڈیولپمنٹ اور چپ ڈیزائن کے لیے OpenROAD کے نئے انداز صنعت کا معیار بن جائیں۔

ہم اپنے کارپوریٹ بلاگ میں کیا لکھتے ہیں:

ماخذ: www.habr.com

نیا تبصرہ شامل کریں