Muhokama: OpenROAD loyihasi protsessor dizaynini avtomatlashtirish muammosini hal qilishni maqsad qilgan

Muhokama: OpenROAD loyihasi protsessor dizaynini avtomatlashtirish muammosini hal qilishni maqsad qilgan
Foto - Pexels - CC BY

haqida ma'lumotlar PWC, yarimo'tkazgich texnologiyalari bozori o'sib bormoqda - o'tgan yili u 481 milliard dollarga yetdi. Ammo yaqinda uning o'sish sur'ati kamaydi. Pasayish sabablari orasida qurilmani loyihalash jarayonlarini chalkashtirib yuborish va avtomatlashtirishning etishmasligi kiradi.

Bir necha yil oldin, Intel muhandislari yozganyuqori unumdor mikroprotsessorni yaratishda siz 100-150 ta alohida dasturiy vositalardan foydalanishingiz kerak (ado). ASIC, FPGA, CPU yoki GPU - arxitekturasi turli xil turdagi chiplarni o'z ichiga olgan heterojen qurilmalarda vaziyat yanada og'irlashishi mumkin. Natijada, mahsulotlarni chiqarishni kechiktiradigan dizayn xatolari yuzaga keladi.

Yordamchi asboblarning ko'pligiga qaramay, muhandislar hali ham ba'zi ishlarni qo'lda bajarishga majbur. Kitob mualliflari "Kengaytirilgan mantiqiy sintez"Ular buni ba'zida dizaynerlar deyishadi qilish kerak bilan kutubxonalar yaratish uchun Skill yoki Python-da ikki million qatorli skriptlarni yozing hujayralar.

Skriptlar EDA tizimlari tomonidan yaratilgan hisobotlarni tahlil qilish uchun ham yoziladi. 22nm texnologik texnologiyadan foydalangan holda chip ishlab chiqilayotganda, bu hisobotlar 30 terabaytgacha davom etishi mumkin.

DARPA vaziyatni tuzatishga va dizayn jarayonlarini standartlashtirishga harakat qilishga qaror qildi. Agentlikda ham o'ylab ko'ringchiplarni yaratishning mavjud usullari eskirgan. Tashkilot ishga tushirildi besh yillik dastur OpenROAD, bu chiplarni loyihalash jarayonlarini avtomatlashtirish uchun yangi vositalarni ishlab chiqishga qaratilgan.

Qanday dastur

Dastur chiplarni yaratishning individual bosqichlarini avtomatlashtirish uchun mashinani o'rganish va bulutli texnologiyalardan foydalanadigan bir nechta loyihalarni o'z ichiga oladi. Tashabbusning bir qismi sifatida ishlab chiqilmoqda (1-diagramma) o'ndan ortiq asboblar. Keyinchalik ularning ba'zilari haqida batafsilroq gaplashamiz: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner RTL va GDSII kutubxonalarini boshqarish vositasidir. Ikkinchisi integral mikrosxemalar va ularning topologiyalari haqida ma'lumot almashish uchun sanoat standarti bo'lgan ma'lumotlar bazasi fayllari. Yechim Docker konteyner texnologiyasiga asoslangan. Flow Runner-ni bulutda ham, mahalliy sifatida ham ishga tushirishingiz mumkin. O'rnatish bo'yicha qo'llanma rasmiy omborda GitHub-da.

O'zgartiring komponentlarni chipga joylashtirish va marshrutlashni avtomatlashtirish uchun mas'ul bo'lgan mashinani o'rganishga asoslangan bulutli yechim. tomonidan ba'zi ma'lumotlar, aqlli algoritmlar klassik tizimlarga nisbatan asbob samaradorligini 2–10% ga oshiradi. Bundan tashqari, bulutda amalga oshirish masshtablashni osonlashtiradi. O'rnatish va sozlash bo'yicha qo'llanma ham mavjud omborida.

TritonCTS β€” chipga berilgan soat impulslarini optimallashtirish uchun yordamchi dastur. Bir xil kechikishlar bilan soat signallarini qurilmaning barcha qismlariga yo'naltirishga yordam beradi. Ishlash printsipi quyidagilarga asoslanadi H-daraxtlar. Bu yondashuv yaxshilaydi signalni tarqatish samaradorligi an'anaviy usullarga nisbatan 30% ga. Ishlab chiquvchilarning aytishicha, kelajakda bu ko'rsatkich 56% gacha oshirilishi mumkin. TritonCTS manba kodi va skriptlar mavjud GitHub-da.

OpenSTA β€” statik vaqtni tahlil qilish uchun vosita. Bu dizaynerga chipning amalda yig'ilishidan oldin uning funksionalligini tekshirish imkoniyatini beradi. OpenSTA-dagi misol kodlari o'xshaydi mana bunday.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Yordamchi dastur Verilog kodi, Liberty format kutubxonalari, SDC fayllari va boshqalarning tarmoq ro'yxati tavsiflarini qo'llab-quvvatlaydi.

Avzalliklar va kamchiliklar

IBM va IEEE mutaxassislari eslatmabulutli texnologiyalar va mashinalarni o'rganish chip ishlab chiqarishda foydalanish uchun uzoq vaqtdan beri kechiktirilgan. Ularning fikricha, DARPA loyihasi ushbu g'oyani amalga oshirishning muvaffaqiyatli namunasiga aylanishi mumkin va qo'yadi sanoatdagi o'zgarishlarning boshlanishi.

Shuningdek, OpenROAD ning ochiq tabiati vositalar atrofida kuchli hamjamiyatni yaratishi va yangi startaplarni jalb qilishi kutilmoqda.

Muhokama: OpenROAD loyihasi protsessor dizaynini avtomatlashtirish muammosini hal qilishni maqsad qilgan
Foto - Pexels - CC BY

Ishtirokchilar allaqachon bor - Michigan universitetida mikrosxemalar ishlab chiqaruvchi laboratoriya, birinchi bo'ladi, OpenROAD ochiq manba vositalarini kim sinab ko'radi. Ammo yangi echimlar yakuniy mahsulot narxiga sezilarli ta'sir ko'rsatishi mumkinmi yoki yo'qmi, hozircha ma'lum emas.

Umuman olganda, DARPA rahbarligida ishlab chiqilayotgan vositalar protsessor sanoatiga ijobiy ta'sir ko'rsatishi kutilmoqda va bu sohada ko'proq yangi loyihalar paydo bo'la boshlaydi. Masalan, vosita bo'lishi mumkin gEDA β€” cheksiz miqdordagi komponentlar bilan chiplarni loyihalash imkonini beradi. gEDA mikrosxemalar va platalarni marshrutlashni tahrirlash va modellashtirish uchun yordamchi dasturlarni o'z ichiga oladi. Yechim UNIX platformalari uchun ishlab chiqilgan, biroq uning bir qator komponentlari Windows ostida ham ishlaydi. Ular bilan ishlash bo'yicha qo'llanmani topish mumkin loyiha veb-saytidagi hujjatlarda.

Bepul mavjud vositalar mustaqil tashkilotlar va startaplarga ko'proq imkoniyatlar beradi. Vaqt o'tishi bilan OpenROADning EDA vositalarini ishlab chiqish va chiplarni loyihalash bo'yicha yangi yondashuvlari sanoat standartiga aylanishi mumkin.

Korporativ blogimizda nimalar haqida yozamiz:

Manba: www.habr.com

a Izoh qo'shish