--ΠΡΠΎ -
Ngu
Kwiminyaka embalwa edlulileyo, iinjineli ezivela kwi-Intel
Ngaphandle kwenani elikhulu lezixhobo ezincedisayo, iinjineli zisanyanzelekile ukuba zenze umsebenzi othile ngesandla. Ababhali bencwadi "
Advanced Logic Synthesis "Bathi ngamanye amaxesha abayilikufuneke u bhala izikripthi kwiSakhono okanye kwiPython yemigca ezigidi ezibini ukwenza amathala eencwadiiiseli .Izikripthi zikwabhalelwa ukucazulula iingxelo eziveliswe ziinkqubo ze-EDA. Xa uphuhlisa i-chip usebenzisa iteknoloji yenkqubo ye-22nm, ezi ngxelo zinokuthatha ukuya kwi-30 terabytes.
I-DARPA yagqiba ekubeni ilungise imeko kwaye izame ukulungelelanisa iinkqubo zokuyila. Kwi-arhente kwakhona
Loluphi uhlobo lwenkqubo
Inkqubo ibandakanya iiprojekthi ezininzi ezisebenzisa ukufundwa koomatshini kunye netekhnoloji yelifu ukwenza ngokuzenzekelayo izigaba zokwenziwa kwetshiphu. Njengenxalenye yelinge
Flow Imbaleki sisixhobo sokulawula iilayibrari ze-RTL kunye ne-GDSII. Ezi zilandelayo ziifayile zesiseko sedatha ezisemgangathweni weshishini lokutshintshiselana ngolwazi malunga neesekethe ezidibeneyo kunye ne-topology yazo. Isisombululo sisekwe kwitekhnoloji yesikhongozeli seDocker. Ungaqhuba iFlow Runner zombini kwilifu nalapha ekhaya. Isikhokelo sofakelo sikwindawo yokugcina esemthethweni
Faka esikhundleni sisisombululo selifu esisekelwe ekufundeni komatshini, ojongene nokubeka amacandelo kwi-chip kunye nomzila wokuzenzekelayo. Ngu
I-TritonCTS -into eluncedo yokuphucula iiwotshi zewotshi ezinikezelwe kwitshiphu. Inceda imiqondiso yewotshi yendlela kuzo zonke iindawo zesixhobo ngolibaziseko olufanayo. Umgaqo wokusebenza usekelwe
OpenSTA -injini yohlalutyo lwexesha elingatshintshiyo. Inika umyili ithuba lokujonga ukusebenza kwetshiphu phambi kokuba idityaniswe ngokwenene. Umzekelo wekhowudi kwi-OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
Isixhobo sixhasa inkcazo ye-netlist yekhowudi yeVerilog, iilayibrari zefomathi yeLiberty, iifayile zeSDC, njl.
Iingenelelo kunye nokungalunganga
Iingcali ezivela kwi-IBM kunye ne-IEEE
Kukwalindeleke ukuba uhlobo oluvulekileyo lwe-OpenROAD luza kudala uluntu olunamandla olujikeleze izixhobo kwaye lutsale iziqalo ezintsha.
--ΠΡΠΎ -
Sele kukho abathathi-nxaxheba - ilabhoratri ephuhlisa iitshiphusi ezisekwe kwiYunivesithi yaseMichigan,
Ngokubanzi, izixhobo eziphuhliswayo phantsi kobunkokeli be-DARPA kulindeleke ukuba zibe nefuthe elihle kushishino lweprosesa, kwaye iiprojekthi ezininzi ezintsha ziya kuqala ukuvela kule ndawo. Umzekelo uya kuba sisixhobo
Izixhobo ezifumanekayo ngokukhululekileyo zinika imibutho ezimeleyo kunye nokuqalisa ukhetho oluninzi. Kusenokwenzeka ukuba ekuhambeni kwexesha, iindlela ezintsha ze-OpenROAD zophuhliso lwesixhobo se-EDA kunye noyilo lwetshiphu zingaba ngumgangatho weshishini.
Yintoni esibhala ngayo kwibhlog yethu yeshishini:
Unboxing: Cisco UCS B480 M5 Blade Server I-Unboxing: yonke inkqubo yokugcina i-flash NetApp AFF A300 - ukujonga ngaphakathi Iiseva eziphezulu zoxinaniso: uhlalutyo lwezisombululo Cisco UCS - unboxing
umthombo: www.habr.com