Ingxoxo: Iprojekthi ye-OpenROAD ijonge ukusombulula ingxaki yokuzenzekela kuyilo lweprosesa

Ingxoxo: Iprojekthi ye-OpenROAD ijonge ukusombulula ingxaki yokuzenzekela kuyilo lweprosesa
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

Ngu inikiwe I-PWC, imakethi yetekhnoloji ye-semiconductor iyakhula - kunyaka ophelileyo ifikelele kwi-481 yeebhiliyoni zeedola. Kodwa izinga lokukhula kwayo kutshanje yehla. Phakathi kwezizathu zokuhla kubunzima beenkqubo zoyilo lwesixhobo kunye nokungabikho kokuzenzekelayo.

Kwiminyaka embalwa edlulileyo, iinjineli ezivela kwi-Intel wabhalaukuba xa usenza i-microprocessor esebenza kakhulu kufuneka usebenzise i-100-150 yezixhobo zesoftware eyahlukileyo (I-EDA). Imeko inokuba mandundu kwimeko yezixhobo ezingafaniyo, ulwakhiwo olubandakanya iindidi ezahlukeneyo zeetshiphusi - ASIC, FPGA, CPU okanye GPU. Ngenxa yoko, iimpazamo zoyilo zenzeke ezibambezela ukukhutshwa kweemveliso.

Ngaphandle kwenani elikhulu lezixhobo ezincedisayo, iinjineli zisanyanzelekile ukuba zenze umsebenzi othile ngesandla. Ababhali bencwadi "Advanced Logic Synthesis"Bathi ngamanye amaxesha abayili kufuneke u bhala izikripthi kwiSakhono okanye kwiPython yemigca ezigidi ezibini ukwenza amathala eencwadi iiseli.

Izikripthi zikwabhalelwa ukucazulula iingxelo eziveliswe ziinkqubo ze-EDA. Xa uphuhlisa i-chip usebenzisa iteknoloji yenkqubo ye-22nm, ezi ngxelo zinokuthatha ukuya kwi-30 terabytes.

I-DARPA yagqiba ekubeni ilungise imeko kwaye izame ukulungelelanisa iinkqubo zokuyila. Kwi-arhente kwakhona cingaukuba iindlela ezikhoyo zokwenza iitshiphusi ziphelelwe lixesha. Umbutho iphehlelelwe inkqubo yeminyaka emihlanu I-OpenROAD, ejolise ekuphuhliseni izixhobo ezitsha zokuzenzekelayo iinkqubo zoyilo lwe-chip.

Loluphi uhlobo lwenkqubo

Inkqubo ibandakanya iiprojekthi ezininzi ezisebenzisa ukufundwa koomatshini kunye netekhnoloji yelifu ukwenza ngokuzenzekelayo izigaba zokwenziwa kwetshiphu. Njengenxalenye yelinge ziyaphuhliswa (umzobo 1) izixhobo ezingaphezu kweshumi. Okulandelayo siza kuthetha ngokubanzi malunga nezinye zazo: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Imbaleki sisixhobo sokulawula iilayibrari ze-RTL kunye ne-GDSII. Ezi zilandelayo ziifayile zesiseko sedatha ezisemgangathweni weshishini lokutshintshiselana ngolwazi malunga neesekethe ezidibeneyo kunye ne-topology yazo. Isisombululo sisekwe kwitekhnoloji yesikhongozeli seDocker. Ungaqhuba iFlow Runner zombini kwilifu nalapha ekhaya. Isikhokelo sofakelo sikwindawo yokugcina esemthethweni kwiGitHub.

Faka esikhundleni sisisombululo selifu esisekelwe ekufundeni komatshini, ojongene nokubeka amacandelo kwi-chip kunye nomzila wokuzenzekelayo. Ngu enye idatha, ii-algorithms ezikrelekrele zandisa ukusebenza kakuhle kwesixhobo nge-2-10% xa kuthelekiswa neenkqubo zakudala. Ukongeza, ukuphunyezwa kwilifu kwenza ukukala kube lula. Isikhokelo sokufakela kunye noqwalaselo sikwakhona kwindawo yokugcina.

I-TritonCTS -into eluncedo yokuphucula iiwotshi zewotshi ezinikezelwe kwitshiphu. Inceda imiqondiso yewotshi yendlela kuzo zonke iindawo zesixhobo ngolibaziseko olufanayo. Umgaqo wokusebenza usekelwe H-imithi. Le ndlela ukwanda ukusebenza kakuhle kokuhanjiswa komqondiso ngama-30% xa kuthelekiswa neendlela zemveli. Abaphuhlisi bathi kwixesha elizayo eli nani linganyuswa ukuya kuma-56%. Ikhowudi yomthombo weTritonCTS kunye nezikripthi ezikhoyo kwiGitHub.

OpenSTA -injini yohlalutyo lwexesha elingatshintshiyo. Inika umyili ithuba lokujonga ukusebenza kwetshiphu phambi kokuba idityaniswe ngokwenene. Umzekelo wekhowudi kwi-OpenSTA ibonaka ingathi ndiyayithanda lento.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Isixhobo sixhasa inkcazo ye-netlist yekhowudi yeVerilog, iilayibrari zefomathi yeLiberty, iifayile zeSDC, njl.

Iingenelelo kunye nokungalunganga

Iingcali ezivela kwi-IBM kunye ne-IEEE bhiyozelaukuba itekhnoloji yamafu kunye nokufunda koomatshini sele kulixesha elide ukuba isetyenziswe kwimveliso yetshiphu. Ngokombono wabo, iprojekthi ye-DARPA ingaba ngumzekelo ophumelelayo wokuphunyezwa kwale ngcamango kunye iyakubeka ukuqala kweenguqu kwishishini.

Kukwalindeleke ukuba uhlobo oluvulekileyo lwe-OpenROAD luza kudala uluntu olunamandla olujikeleze izixhobo kwaye lutsale iziqalo ezintsha.

Ingxoxo: Iprojekthi ye-OpenROAD ijonge ukusombulula ingxaki yokuzenzekela kuyilo lweprosesa
--ΠžΡ‚ΠΎ - Pexels β€” CC BY

Sele kukho abathathi-nxaxheba - ilabhoratri ephuhlisa iitshiphusi ezisekwe kwiYunivesithi yaseMichigan, iya kuba ngowokuqala, ngubani oza kuvavanya izixhobo ze-OpenROAD ezivulekileyo. Kodwa akukaziwa ukuba izisombululo ezitsha ziya kuba nefuthe elibonakalayo kwiindleko zeemveliso zokugqibela.

Ngokubanzi, izixhobo eziphuhliswayo phantsi kobunkokeli be-DARPA kulindeleke ukuba zibe nefuthe elihle kushishino lweprosesa, kwaye iiprojekthi ezininzi ezintsha ziya kuqala ukuvela kule ndawo. Umzekelo uya kuba sisixhobo GEDA - ikuvumela ukuba uyile iitshiphusi ngenani elingenamkhawulo lamacandelo. I-gEDA ibandakanya izinto eziluncedo zokuhlela kunye nokwenza imodeli ye-microcircuits kunye neendlela zebhodi. Isisombululo saphuhliswa kumaqonga e-UNIX, kodwa inani lamacandelo alo asebenza phantsi kweWindows. Isikhokelo sokusebenzisana nabo sinokufunyanwa kumaxwebhu akwiwebhusayithi yeprojekthi.

Izixhobo ezifumanekayo ngokukhululekileyo zinika imibutho ezimeleyo kunye nokuqalisa ukhetho oluninzi. Kusenokwenzeka ukuba ekuhambeni kwexesha, iindlela ezintsha ze-OpenROAD zophuhliso lwesixhobo se-EDA kunye noyilo lwetshiphu zingaba ngumgangatho weshishini.

Yintoni esibhala ngayo kwibhlog yethu yeshishini:

umthombo: www.habr.com

Yongeza izimvo