Udidi: Ulawulo

Ndizenzele uvavanyo lukaGqr. Web. Unga?

Andizange ndisebenzise uDkt. Web. Andazi ukuba isebenza njani. Kodwa oku akuzange kundiyekise ukubhala inani lee-autotests kuyo (kwaye ubuvila kuphela abuzange bundivumele ukuba ndibhale ikhulu elingakumbi): Uvavanyo lokufaka uGqr. Web; Uvavanyo lokuthintela ukufikelela kwizixhobo ezisusekayo (i-flash drives); Uvavanyo lokuthintela ufikelelo kulawulo phakathi kweenkqubo; Uvavanyo […]

Uxolo OpenShift, asikhange sikuxabise ngokwaneleyo kwaye sikuthathe kancinci

Esi sithuba sabhalwa ngenxa yokuba abasebenzi bethu babeneencoko ezininzi kunye nabathengi malunga nophuhliso lwesicelo kwi-Kubernetes kunye neenkcukacha zophuhliso olunjalo kwi-OpenShift. Sihlala siqala ngethisisi yokuba iKubernetes yiKubernetes nje, kwaye i-OpenShift sele iliqonga leKubernetes, elifana neMicrosoft AKS okanye iAmazon EKS. Ngalinye lala qonga linezalo […]

Ukulungiselela i-DRP - ungalibali ukuthathela ingqalelo imeteorite

Kwanangexesha lentlekele, kuhlala kukho ixesha lekomityi yeti ye-DRP (isicwangciso sokubuyisela intlekele) - le nto, ngokuqinisekileyo, ayinakuze ifuneke. Kodwa ukuba ngequbuliso iibhiva ziyafuduka ngexesha lokukhwelana ziluma umqolo wefiber optical okanye umlawuli omncinci wehlisa isiseko esivelisayo, ngokuqinisekileyo uyafuna ukuqiniseka ukuba uya kuba nesicwangciso esenziwe kwangaphambili ukujongana nayo yonke le nto […]

Ukwenza ibhola yomlingo usebenzisa iArduino Pro Mini

Ndandibukele imuvi apho omnye wabalinganiswa wayenebhola yomlingo ephendula imibuzo. Ndiye ndacinga ukuba kuya kuba kuhle ukwenza okufanayo, kodwa idijithali. Ndiye ndagrumba kwi-stash yam yezinto ze-elektroniki kwaye ndabona ukuba ndinayo into endiyidingayo ukwenza ibhola enjalo. Ngexesha lobhubhane, bendingafuni kuodola into […]

Ukufakwa kunye nokusebenza kweRudder

Intshayelelo β€œUbuhlobo” bethu baqala kwiminyaka emibini eyadlulayo. Ndifike kwindawo entsha yokusebenza, apho umlawuli wangaphambili wandishiya ngokungaqhelekanga le software njengelifa. Andifumananga nto kwi-Intanethi ngaphandle kwamaxwebhu asemthethweni. Kwangoku, ukuba u-google "i-rudder", kwi-99% yamatyala iya kuza nayo: iihelms zeenqanawa kunye ne-quadcopters. Ndikwazile ukufumana indlela yokusondela kuye. Ukusukela uluntu […]

Ukwazisa i-Debezium-CDC ye-Apache Kafka

Kumsebenzi wam, ndihlala ndifumana izisombululo ezintsha zobugcisa / iimveliso zesoftware, ulwazi malunga nokunqongophala kwi-Intanethi yolwimi lwesiRashiya. Ngeli nqaku ndiza kuzama ukugcwalisa i-gap enye ngomzekelo kwindlela yam yakutshanje, xa ndifuna ukuqwalasela ukuthumela iziganeko ze-CDC ukusuka kwii-DBMS ezimbini ezidumileyo (i-PostgreSQL kunye ne-MongoDB) kwi-cluster ye-Kafka usebenzisa i-Debezium. Ndiyathemba eli nqaku lophononongo, elivele […]

Umboneleli, faka i-antivirus yam kwi-VDI

Phakathi kwabathengi bethu kukho iinkampani ezisebenzisa izisombululo zeKaspersky njengomgangatho weshishini kwaye zilawule ukhuseleko lwe-anti-virus ngokwazo. Kubonakala ngathi inkonzo yedesktop enenyani apho i-antivirus ibekwe esweni ngumboneleli ayibafanelanga kakhulu. Namhlanje ndiza kubonisa indlela abathengi abanokulawula ngayo ukhuseleko lwabo ngaphandle kokubeka esichengeni ukhuseleko lweedesktops ezibonakalayo. Kwisithuba sokugqibela sele sithethile [...]

Sizikhusela njani iidesktop zabathengi kwiintsholongwane, ispyware kunye nohlaselo

Kulo nyaka, iinkampani ezininzi zitshintshe ngokukhawuleza emsebenzini okude. Sincede abanye abathengi baququzelele imisebenzi engaphezulu kwekhulu ekude ngeveki. Kwakubalulekile ukwenza oku kungekuphela nje ngokukhawuleza, kodwa nangokukhuselekileyo. I-teknoloji ye-VDI iye yahlangula: ngoncedo lwayo, kulungele ukusasaza imigaqo-nkqubo yokhuseleko kuzo zonke iindawo zokusebenza kunye nokukhusela ukuvuza kwedatha. Kweli nqaku […]

Sijonga amandla e-Intel Xeon Gold 6254 ekusebenzeni nge-1C efini usebenzisa uvavanyo lweGilev

Emuva entwasahlobo yalo nyaka, sidlulisele isiseko selifu se-mClouds.ru kwi-Xeon Gold entsha 6254. Sekude kakhulu ukwenza uphononongo olunzulu lweprosesa - ngoku kudlule unyaka ukususela ekubeni "ilitye" liye lathengiswa. kwaye wonke umntu uyazazi iinkcukacha malunga neprosesa. Nangona kunjalo, inqaku elinye liyaphawuleka, iprosesa inesiseko sokujikeleza kwe-3.1 GHz kunye ne-18 cores, ethi nge-turbo yonyusa zonke […]

Ukulungiswa kweC ++: dibanisa isantya kunye nenqanaba eliphezulu. Ingxelo yeYandex

Yintoni echaphazela isantya seenkqubo zeC ++ kunye nendlela yokuyifeza kwizinga eliphezulu lekhowudi? Umphuhlisi ophambili wethala leencwadi leCatBoost uEvgeniy Petrov uphendule le mibuzo esebenzisa imizekelo nemifanekiso esuka kumava akhe okusebenza kwiCatBoost yex86_64. Ingxelo yevidiyo-Molweni nonke. Ndilungiselela ithala leencwadi lokufunda umatshini weCatBoost kwi-CPU. Elona candelo liphambili lethala leencwadi lethu […]

DeLETE othandekayo. UNikolay Samokhvalov (Postgres.ai)

Ngenye imini kwixesha elizayo elikude, ukususwa ngokuzenzekelayo kwedatha engadingekile kuya kuba ngomnye wemisebenzi ebalulekileyo ye-DBMS [1]. Okwangoku, thina ngokwethu kufuneka sithathele ingqalelo ukucima okanye ukuhambisa idatha engeyomfuneko kwiinkqubo zokugcina ezingabizi kakhulu. Masithi ugqibe ekubeni ucime imiqolo yezigidi ezininzi. Umsebenzi olula ngokufanelekileyo, ngakumbi ukuba imeko iyaziwa kwaye kukho isalathisi esifanelekileyo. "CIMA KWItafile1 APHO col1 [...]

Ipakethe umkhondo. ILab : Ukuqwalasela iindlela ezidadayo ezimileyo

I-Network topology Imisebenzi Ukudala indlela engatshintshiyo engundoqo engashukumiyo Ukubeka indlela edadayo engashukumiyo Ukuvavanya ukutshintshela kwindlela edadayo engatshintshiyo xa indlela engundoqo isilela Ulwazi ngokubanzi Ngoko, okokuqala, amagama ambalwa malunga nokuba yintoni na indlela engashukumiyo, kunye nokuba iyiyo edadayo. Ngokungafaniyo neendlela eziguqukayo, indlela engatshintshiyo ifuna ukuba wakhe ngokuzimeleyo indlela eya kuthungelwano oluthile. Ukudada […]