Udidi: iindaba ze-intanethi

Isixhobo saseJapan se-SLIM saphinda saphila kwaye sathumela ifoto evela eNyangeni-iinjineli aziqondi ukuba zenze njani

IJapan Smart Lander yoPhando lweNyanga (SLIM) ikwazile ukusinda kubusuku benyanga yesithathu kwaye, emva kokugqitywa kwayo, yaphinda yenza unxibelelwano nge-23 ka-Epreli. Le mpumelelo iyamangalisa kuba isixhobo asizange senzelwe ukujamelana neemeko ezinzima ngexesha lobusuku benyanga, xa amaqondo obushushu asezantsi ehla ukuya kwi-170 C Β°. Umthombo womfanekiso: JAXA Umthombo: 3dnews.ru

UHuawei wazisa uphawu lweQiankun kwiinkqubo zokuqhuba ezikrelekrele

Inkampani yobuchwephesha yaseTshayina iHuawei ithathe elinye inyathelo eliya ekubeni ngumdlali ophambili kushishino lwezithuthi zombane ngokuziswa kohlobo olutsha olubizwa ngokuba yiQiankun, phantsi kwayo iya kuvelisa isoftware yokuqhuba ngobukrelekrele. Igama le-brand entsha lidibanisa imifanekiso yesibhakabhaka kunye neeNtaba zaseKunlun zaseTshayina-inkampani iya kuthengisa iinkqubo zokuzihambela, kunye neaudio kunye nolawulo lwezihlalo zomqhubi, […]

I-AMD: I-Chiplet Architecture kwiiProcessors ze-EPYC iNceda ukuNciphisa ukukhutshwa kweGesi yeGreenhouse

UJustin Murrill, umlawuli we-AMD kuxanduva lweshishini, uthe isigqibo senkampani sokusebenzisa uyilo lwe-chiplet kwiiprosesa ze-EPYC sinciphise ukukhutshwa kwerhasi yobushushu behlabathi ngamashumi amawaka etoni ngonyaka. I-AMD yaqala ukwazisa iichiplets malunga neminyaka esixhenxe eyadlulayo. Ukusetyenziswa kwezakhiwo ezininzi ze-chip endaweni yeemveliso ze-monolithic zibonelela ngenani leenzuzo. Ngokukodwa, ukuguquguquka okukhulu kuphunyeziwe kuyilo […]

I-Xfce isuka kwi-IRC iye kwi-Matrix

Emva kwexesha lovavanyo lweenyanga ezi-6, unxibelelwano olusemthethweni lweprojekthi ye-Xfce lusuka kwi-IRC ukuya kwiMatrix. Iitshaneli ze-IRC ezindala ziya kuhlala zivulekile okwangoku, kodwa iziteshi zeMatrix ngoku zisemthethweni. Utshintsho luchaphazela la majelo alandelayo: #xfce kwi-libera.chat β†’ #xfce:matrix.org #xfce-dev kwi-libera.chat β†’ #xfce-dev:matrix.org – ingxoxo yophuhliso #xfce-commits on libera.chat β†’ # xfce- commits:matrix.org -umsebenzi obonakalayo weGitLab Ngaphambili, uninzi lwabathathi-nxaxheba be-IRC […]

I-robotaxi yeTesla iya kubizwa ngokuba yiCybercab

Ngokwesiko lakudala lesiNgesi, iiteksi e-USA nakwamanye amazwe athetha isiNgesi zihlala zibizwa ngokuba "ziikhebhu" (ezisuka kwikhabhathi yesiNgesi), ngoko ke u-Elon Musk akazange awenze nzima umsebenzi wokubiza iteksi yeTesla yerobhothi yexesha elizayo, kwaye ngekota. Inkomfa uthe iya kubizwa ngokuba yi "Cybercab". Umthombo womfanekiso: TeslaSource: 3dnews.ru

I-SK Hynix iza kwakha isityalo esitsha se-semiconductor nge-4 yeebhiliyoni zeedola kwi-Nvidia ukuze ibe neechips ze-HBM ezaneleyo.

Omnye wabavelisi abakhulu behlabathi bee-memory chips, inkampani yaseMzantsi Korea i-SK Hynix ibhengeze ngoLwesithathu iceba ukutyala i-5,3 yeebhiliyoni zeebhiliyoni (malunga ne-3,86 yeebhiliyoni zeedola) ekwakhiweni kwesityalo sokuvelisa imemori ye-DRAM eMzantsi Korea, ibhala iReuters. Inkampani yaqaphela ukuba indawo entsha yemveliso iya kugxila ikakhulu kwimveliso yee-memory chips ze-HBM. Umthombo womfanekiso: […]

Amaziko edatha ye-Apple asebenzise ngaphezu kwe-2023 TWh yombane ngo-2,3

Ukunika amandla amaziko ayo edatha kunye neendawo zokuhlala, i-Apple isebenzise i-2023 TWh yombane kwi-2,344. I-Datacenter Dynamics ibika ukuba inkampani inamaziko asixhenxe edatha yayo, kunye nenani elingaziwayo leendawo zokuhlala emhlabeni jikelele, ukusetyenziswa kwamandla kuzo zombini i-100% ikhutshwe ngokuthengwa kweziqinisekiso ze-PPA. KwiNgxelo yeNkqubela yeNdalo, inkampani yathi iMesa, indawo yaseArizona lelona likhulu […]

iplathi 0.9.2

Kubekho ukukhululwa kokulungiswa kwe-0.9.2 yetoliki ye-console kunye nelayibrari edibeneyo yolwimi lwePluto - enye indlela yokuphunyezwa kolwimi lwesiLua 5.4 kunye neenguqu ezininzi kunye nokuphuculwa kwe-syntax, ilayibrari eqhelekileyo kunye netoliki. Abathathi-nxaxheba beprojekthi bakwaphuhlisa ithala leencwadi leSoup. Iiprojekthi zibhalwe kwi-C ++ kwaye zihanjiswe phantsi kwelayisenisi ye-MIT. Uluhlu lweenguqu: impazamo yoqulunqo olusisigxina kwi-aarch64 architecture; iminxeba emiselweyo […]

I-RT-Thread 5.1 inkqubo yokusebenza yexesha langempela ipapashwe

Emva konyaka wophuhliso, i-RT-Thread 5.1, inkqubo yokusebenza yexesha langempela (RTOS) ye-Intanethi yezixhobo ze-Intanethi, ngoku ikhona. Inkqubo iye yaphuhliswa ukususela ngo-2006 luluntu lwabaphuhlisi baseTshayina kwaye ngoku sele ifakwe kwiibhodi ze-154, ii-chips kunye ne-microcontrollers ngokusekelwe kwi-x86, i-ARM, i-MIPS, i-C-SKY, i-Xtensa, i-ARC kunye ne-RISC-V izakhiwo. I-minimalistic RT-Thread (Nano) yokwakha ifuna kuphela i-3 KB [...]

Ukukhutshwa kwesixhobo sokufihla iinkcukacha zedatha nxs-data-anonymizer 1.4.0

I-nxs-data-anonymizer 1.4.0 ishicilelwe - isixhobo sokufihla i-PostgreSQL kunye ne-MySQL/MariaDB/Percona yokulahla isiseko sedatha. Isixhobo sixhasa ukungaziwa kwedatha ngokusekelwe kwiitemplates kunye nemisebenzi yelayibrari yaseSprig. Phakathi kwezinye izinto, ungasebenzisa amaxabiso ezinye iikholamu kumqolo ofanayo ukuzalisa. Kuyenzeka ukusebenzisa isixhobo ngemibhobho engachazwanga ngamagama kumgca womyalelo kwaye uqondise ngokutsha ukulahla kwisiseko sedatha yemvelaphi ngokuthe ngqo […]