Iqonga le-Precursor lokudala izixhobo eziphathwayo zasimahla zaziswa

UAndrew Huang (UAndrew Huang), itshantliziyo elidumileyo eliphumelele ibhaso kwihardware yasimahla Imbasa yeEFF yobuVulindlela ngo-2012, wazisiwe iqonga elivulekileyo "Precursor", eyilelwe ukwenza iikhonsepthi zezixhobo ezitsha eziphathwayo. Ngokufana nendlela iRaspberry Pi kunye neArduino ekuvumela ngayo ukuba wenze izixhobo ze-Intanethi yeZinto, i-Precursor ijolise ekuboneleleni ngokukwazi ukuyila kunye nokudibanisa izixhobo ezahlukeneyo zeselfowuni ukusombulula iingxaki zakho ngezandla zakho.

Ngokungafaniyo nezinye iiprojekthi, i-Precursor inika abantu abanomdla kungekuphela nje ibhodi, kodwa iprototype esele yenziwe yesixhobo esiphathwayo esinecala le-aluminium elinokulinganisa i-69 x 138 x 7.2 mm, isikrini se-LCD (336x536), ibhetri (1100 mAh Li-Ion) , ikhibhodi encinci, isandisi-lizwi, i-motor vibration, i-accelerometer kunye ne-gyroscope. Imodyuli yekhompyuter ayizi kunye neprosesa esele yenziwe, kodwa ngesoftware-echaziweyo ye-SoC esekwe kwiXilinx XC7S50 FPGA, ngesiseko apho ukulinganisa kwe-32-bit RISC-V CPU esebenza rhoqo kwi-100 MHz. ilungelelanisiwe. Kwangaxeshanye, akukho zithintelo ekulingiseni amanye amacandelo hardware, umzekelo, ukusebenza kweeprosesa ezahlukeneyo, ukusuka 6502 kunye Z-80 ukuya AVR kunye ARM, kunye chips izandi kunye nabalawuli ezahlukeneyo. Ibhodi ibandakanya 16 MB SRAM, 128 MB Flash, Wi-Fi Silicon Labs WF200C, USB uhlobo C, SPI, I²C, GPIO.

Iqonga le-Precursor lokudala izixhobo eziphathwayo zasimahla zaziswa

Iimpawu ezinxulumene nokhuseleko ziquka ubukho bee-hardware ezimbini zee-pseudo-random number generators. Kuyathakazelisa ukuba isixhobo siza ngaphandle kwe-microphone eyakhelwe-ngaphakathi - kuyaqondwa ukuba ulwamkelo lwesandi lunokwenzeka kuphela ukuba i-headset iqhagamshelwe ngokucacileyo, kwaye ukuba i-headset inqanyuliwe, akunakwenzeka ngokwasemzimbeni ukucwangcisa i-eavesdropping, nokuba isixhobo. isoftware iye yachaphazeleka.

Itshiphu yonxibelelwano olungenazingcingo (Wi-Fi) yihardware ebekwe yodwa ukusuka kwelinye iqonga kwaye isebenza kwindawo eyahlukileyo. Ukukhusela ukufikelela okungagunyaziswanga, ityala elitshixwayo likwasetyenziswa, i-RTC eyahlukileyo yokugada ingqibelelo, kunye nokugadwa kwentshukumo kwimowudi yokulinda (ihlala ikwi-accelerometer kunye negyroscope). Kukho kwakhona ikhonkco lokuzitshabalalisa kunye nokucocwa ngokukhawuleza kwayo yonke idatha, eyenziwa kusetyenziswa isitshixo se-AES.

Ulwimi lwe-FHDL ​​lusetyenziselwa ukuchaza amacandelo e-hardware Migen (IiNgcaciso zeNgcaciso yeeNgcaciso zeKhompyutha eziziQithisiweyo), ezisekelwe kwiPython. I-Migen ifakwe kwisakhelo I-LiteX, ebonelela ngesiseko sokwenza iisekethe ze-elektroniki. Ireferensi ye-SoC ilungiselelwe ngokusekelwe kwi-Precursor usebenzisa i-FPGA kunye ne-LiteX Ukuthenjwa, kuquka i-100 MHz VexRISC-V RV32IMAC CPU, kunye nomlawuli odibeneyo
Betrusted-EC kunye 18 MHz LiteX VexRISC-V RV32I core.

Iqonga le-Precursor lokudala izixhobo eziphathwayo zasimahla zaziswa

I-Betrusted SoC ibonelela ngeseti eyakhelwe-ngaphakathi yee-cryptographic primitives ezifana ne-pseudo-random number generator, AES-128, -192, -256 kunye ne-ECB, i-CBC kunye neendlela ze-CTR, i-SHA-2 kunye ne-SHA-512, injini ye-crypto isekelwe kwi-elliptic curve Curve25519. Injini ye-crypto ibhalwe kwi-SystemVerilog kwaye isekelwe kwi-crypto kernels kwiprojekthi UGoogle OpenTitan.

I-Precursor ibekwe njengeqonga lokudala kunye nokuqinisekisa iiprototypes, ngelixa i-Betrusted yenye yezixhobo eziphathwayo esele zenziwe zakhiwe phezu kwe-Precursor. Ekubeni i-enclaves yendabuko esetyenziselwa ukugcinwa okuzimeleyo kwezitshixo ze-crypto azikhuseli ekuhlaselweni okuphezulu okufana nokuqokelela amagama ayimfihlo usebenzisa i-keyloggers okanye ukufikelela kwimiyalezo ngokujonga umfanekiso, i-Betrusted yongeza izinto zokusebenzisana komsebenzisi ekuphunyezweni kwe-enclave (HCl,uNxibelelwano lweKhompyutha yoMntu), iqinisekisa ukuba idatha enovakalelo enokufundwa ngumntu ayigcinwa, iboniswe, okanye ithunyelwe ngaphandle kwesixhobo esikhuselekileyo.

I-Betrusted ayizami ukutshintsha ifowuni ephathwayo, kodwa yenza i-enclave ekhuselekileyo kunye negalelo eliphicothiweyo kunye nemveliso. Umzekelo, i-smartphone yangaphandle inokusetyenziswa ngaphezulu kwe-Wi-Fi njengejelo ledatha elingathenjwayo, kodwa imiyalezo efihliweyo ethunyelwayo ichwethezwa kuphela kwikhibhodi eyakhelwe-ngaphakathi yesixhobo, kwaye imiyalezo efunyenweyo iboniswa kuphela kwiscreen esakhelwe ngaphakathi. .

Zonke iinxalenye zeSandulela kunye neBetrusted zingumthombo ovulekileyo kwaye ziyafumaneka ukuze zilungiswe kunye nokulinga phantsi kwelayisensi Vula iLayisensi yeHardware 1.2, ifuna ukuba yonke imisebenzi ephumayo ivulwe phantsi kwelayisenisi enye. Kubandakanya ukuvula схемы kunye namaxwebhu apheleleyo eprojekthi iibhodi eziphambili kunye nabancedisayo, ukuphunyezwa okulungeleyo SoC Thenjiwe и umlawuli (EC). Iimodeli ezikhoyo zoshicilelo lwe-3D lwezindlu. Ikwaphuhliswa ngendlela yeeprojekthi ezivulelekileyo iseti ye-firmware kunye neengcali Inkqubo yokusebenza Xous usekwe kwi microkernel.

Iqonga le-Precursor lokudala izixhobo eziphathwayo zasimahla zaziswa

umthombo: opennet.ru

Yongeza izimvo