Ukukhutshwa kwe-kernel ye-Linux 5.2

Emva kweenyanga ezimbini zophuhliso, uLinus Torvalds wazisiwe ukukhutshwa kwe-kernel Linux 5.2. Phakathi kwezona nguqu zibonakalayo: Imowudi yokusebenza ye-Ext4 ayikhathali, inkqubo eyahlukileyo ifuna ukunyuswa kwenkqubo yefayile, abaqhubi be-GPU Mali 4xx/ 6xx/7xx, ukukwazi ukuphatha utshintsho kumaxabiso e-sysctl kwiinkqubo ze-BPF, isixhobo-mapper. imodyuli dm-uthuli, ukukhuselwa ekuhlaselweni kwe-MDS, inkxaso ye-Sound Open Firmware ye-DSP, ukulungiswa kokusebenza kwe-BFQ, ukuzisa inkqubo ephantsi ye-PSI (i-Pressure Stall Information) ukuba isetyenziswe kwi-Android.

Inguqulelo entsha ibandakanya ukulungiswa kwe-15100 evela kubaphuhlisi be-1882,
ubungakanani be-patch - 62 MB (utshintsho oluchaphazela iifayile ze-30889, imigca ye-625094 yekhowudi yongezwa, imigca ye-531864 yacinywa). Malunga ne-45% yazo zonke ezithiwe thaca kwisi-5.2
utshintsho lunxulumene nabaqhubi besixhobo, malunga ne-21% yotshintsho
isimo sengqondo malunga nokuhlaziya ikhowudi ethile kwi-architecture ye-hardware, i-12%
ehambelana ne-stack yenethiwekhi, i-3% kwiinkqubo zefayile kunye ne-3% yangaphakathi
iinkqubo ezisezantsi zekernel. I-12.4% yazo zonke iinguqu zilungiswe yi-Intel, i-6.3% yi-Red Hat, i-5.4% yi-Google, i-4.0% yi-AMD, i-3.1% yi-SUSE, i-3% yi-IBM, i-2.7% yi-Huawei, i-2.7% yi-Linaro, i-2.2% yi-ARM , 1.6 % - Oracle.

Siseko ezintsha:

  • Inkqubo engaphantsi kweDisk, I/O kunye neeNkqubo zeFayile
    • Yongezwe kwi-Ext4 inkxaso sebenza ngaphandle kokwahlula imeko yabalinganiswa kumagama eefayile, evulwa kuphela ngokunxulumene nolawulo olungenanto lomntu usebenzisa uphawu olutsha β€œ+F” (EXT4_CASEFOLD_FL). Xa olu phawu lusekwe kulawulo, yonke imisebenzi eneefayile kunye namacandelo angaphantsi ngaphakathi iya kuqhutywa ngaphandle kokuthathela ingqalelo imeko yabalinganiswa, kubandakanywa imeko iya kuhoywa xa kukhangelwa kwaye uvula iifayile (umzekelo, iifayile Test.txt, test.txt kunye novavanyo.TXT kuluhlu lwezalathiso luya kuqwalaselwa ngokufanayo). Ngokungagqibekanga, isixokelelwano sefayile sisaqhubeka sinovakalelo lwemeko, ngaphandle kwabalawuli abanophawu lwe "chattr +F";
    • Imisebenzi yokusetyenziswa kweempawu ze-UTF-8 kumagama eefayile, ezisetyenziswa xa kusenziwa uthelekiso lomtya kunye nokusebenza okuqhelekileyo, kudityaniswe;
    • I-XFS yongeza iziseko zophuhliso lwenkqubo yefayile esweni yezempilo kunye ne-ioctl entsha yokubuza ubume bezempilo. Umsebenzi wokulinga uphunyeziwe ukujonga izixhobo zokubala eziphezulu kwi-intanethi.
    • Kongezwe isixhobo semodyuli entsha "dm-uthuli", ekuvumela ukuba ulinganise ukubonakala kweebhloko ezimbi kwimidiya okanye iimpazamo xa ufunda kwidiski. Imodyuli ikuvumela ukuba wenze lula ukulungisa iimpazamo kunye nokuvavanywa kwezicelo kunye neenkqubo ezahlukeneyo zokugcina ebusweni bokusilela okunokwenzeka;
    • Iqhutywe Ukulungiswa komsebenzi okubalulekileyo kumcwangcisi we-BFQ I/O. Kwiimeko zomthwalo ophezulu we-I/O, ukulungelelaniswa kwenziwe vumela Ukunciphisa ixesha lemisebenzi efana nokuqaliswa kwezicelo ukuya kuthi ga kwi-80%.
    • Kongezwe uluhlu lweefowuni zenkqubo yokunyuswa kweenkqubo zefayile: fsopen(), vula_umthi (), fspick(), fsmount (), fsconfig() ΠΈ susa_intaba (). Ezi fowuni zesixokelelwano zikuvumela ukuba usebenze ngokwahlukeneyo amanqanaba onyuso (qhubela i-superblock, fumana ulwazi malunga nenkqubo yefayile, nyusa, uncamathisele kwindawo yokunyusela), ezazenziwe ngaphambili kusetyenziswa imowusi jikelele () umnxeba wenkqubo. Ukwahlula iifowuni zibonelela ngesakhono sokwenza iimeko zokunyuka ezintsonkothileyo kwaye wenze imisebenzi eyahlukileyo efana nokuqwalasela kwakhona ibhloko enkulu, iinketho zokuvumela, ukutshintsha indawo yokunyuka, kunye nokuya kwindawo yamagama eyahlukileyo. Ukongezelela, ukusetyenzwa okuhlukeneyo kukuvumela ukuba unqume ngokuchanekileyo izizathu zemveliso yeekhowudi zephutha kwaye usete imithombo emininzi yeenkqubo zefayile ze-multi-layer, ezifana ne-overlayfs;
    • Umsebenzi omtsha IORING_OP_SYNC_FILE_RANGE yongezwe kujongano lwe-asynchronous I/O io_uring, eyenza iintshukumo ezilingana nomnxeba wenkqubo. sync_file_uluhlu (), kwaye kwaphunyezwa ukukwazi ukubhalisa eventfd nge-io_uring kwaye ufumane izaziso malunga nokugqitywa kwemisebenzi;
    • Kwinkqubo yefayile yeCIFS, iFIEMAP ioctl yongeziwe, ibonelela ngobungakanani bemaphu esebenzayo, kunye nenkxaso ye SEEK_DATA kunye ne SEEK_HOLE iindlela;
    • Kwinkqubo engaphantsi ye-FUSE icetywayo I-API yokulawula ukugcinwa kwedatha;
    • I-Btrfs ilungiselele ukuphunyezwa kwe-qgroups kunye nokuphucula isantya sokwenza i-fsync kwiifayile ezinamakhonkco amaninzi anzima. Ikhowudi yokutshekisha ingqibelelo yedatha iphuculwe, ngoku iqwalasela umonakalo onokwenzeka kulwazi kwi-RAM ngaphambi kokucoca idatha kwidiski;
    • I-CEPH yongeze inkxaso yokuthunyelwa kwe-snapshots nge-NFS;
    • Ukuphunyezwa kwe-NFSv4 yokunyuka kwimodi "ethambileyo" iphuculwe (ukuba kukho impazamo ekufikeleleni kwiseva kwimodi "ethambileyo", umnxeba wokubuyisela ngokukhawuleza ikhowudi yempazamo, kwaye "kwimowudi" yolawulo ayinikwanga de kube yiFS. ukufumaneka okanye ixesha lokuvala libuyiselwe). Ukukhutshwa okutsha kunika ukuphathwa kwexesha elichanekileyo, ukubuyiswa ngokukhawuleza kokuwa, kunye nenketho entsha yokunyuka "softerr" evumela ukuba utshintshe ikhowudi yephutha (ETIMEDOUT) ebuyisiwe xa ixesha liphelile;
    • I-nfsdcld API, eyilelwe ukulandelela imeko yabathengi be-NFS, ivumela umncedisi we-NFS ukuba alandele kakuhle imeko yomthengi ekuqaliseni kwakhona. Ngoko ke, i-daemon ye-nfsdcld ngoku inokusebenza njengomphathi we-nfsdcltrack;
    • Kwi-AFS yongezwe Ukulinganisa uluhlu lwe-byte zokutshixa kwiifayile (I-Byte Range Locking);
  • Ukwenziwa kweVirtual kunye noKhuseleko
    • Umsebenzi wenziwe ukuphelisa indawo kwi-kernel evumela ukubulawa kwekhowudi kwiindawo ezibhaliweyo ezibonakalisiweyo zememori, ezivumela ukuvala imingxuma enokuthi isetyenziswe ngexesha lokuhlaselwa;
    • Iparameter yomgca womyalelo we kernel entsha "mitigations=" yongeziwe, ibonelela ngendlela elula yokulawula ukwenziwa kweendlela ezithile zokukhusela ngokuchasene nobuthathaka obunxulunyaniswa nokuphunyezwa okuqikelelwayo kwemiyalelo kwi-CPU. Ukupasa "mitigations=off" kukhubaza zonke iindlela ezikhoyo, kwaye indlela emisiweyo "mitigations=auto" yenza ukhuseleko kodwa ayichaphazeli ukusetyenziswa kwe-Hyper Threading. Imo ye-"mitigations=auto,nosmt" iphinda ivale i-Hyper Threading ukuba ifunwa yindlela yokhuseleko.
    • Yongeziwe inkxaso yotyikityo lwedijithali yedijithali ngokweGOST R 34.10-2012 (RFC 7091, ISO/IEC 14888-3), iphuhlisiwe Vitaly Chikunov ovela eBasalt SPO. Inkxaso eyongeziweyo ye-AES128-CCM ekuphunyezweni kwe-TLS yomthonyama. Inkxaso eyongeziweyo yee-algorithms ze-AEAD kwimodyuli ye-crypto_simd;
    • Kwi Kconfig yongezwe icandelo elahlukileyo "lokuqina kwekernel" kunye neenketho zokuphucula ukhuseleko lwekernel. Okwangoku, icandelo elitsha liqulathe kuphela iisetingi zokwenza ukuba i-GCC ijonge iiplagi zokuphucula ukujonga;
    • Ikhowudi yekernel iphantse zisiwe ukusuka kwiziteyitimenti zetyala ezingaphuliyo ekutshintsheni (ngaphandle kokubuya okanye ikhefu emva kwebhloko yetyala ngalinye). Kuhlala ukulungisa iimeko ezingama-32 kwii-2311 zokusetyenziswa okunjalo kokutshintsha, emva koko kuya kwenzeka ukuba kusetyenziswe imo ye-"-Wimplicit-fallthrough" xa kwakhiwa i-kernel;
    • Kuyilo lwePowerPC, inkxaso yezixhobo zehardware zokunciphisa iindlela zofikelelo zekernel ezingafunwayo kwidata kwindawo yomsebenzisi iphunyeziwe;
    • Ikhowudi yokuthintela eyongeziweyo uhlaselo Iklasi ye-MDS (Microarchitectural Data Sampling) kwiiprosesa ze-Intel. Ungajonga ukuba ingaba inkqubo isesichengeni kubuthathaka ngokusebenzisa iSysFS eguquguqukayo "/sys/devices/system/cpu/vulnerabilities/mds". Iyafumaneka iindlela ezimbini zokukhusela: zigcwele, ezifuna i-microcode ehlaziyiweyo, kunye nokudlula, okungakuqinisekisi ngokupheleleyo ukucocwa kwee-buffers ze-CPU xa ulawulo ludluliselwa kwindawo yomsebenzisi okanye inkqubo yeendwendwe. Ukulawula iindlela zokukhusela, i-"mds=" ipharamitha yongezwe kwi-kernel, enokuthi ithathe amaxabiso "egcweleyo", "igcwele, i-nosmt" (+ khubaza i-Hyper-Threads) kunye "nokucima";
    • Kwiinkqubo ze-x86-64, ukhuseleko lwe-"stack-guard-page" longeziwe kwi-IRQ, iindlela zokulungisa iimpazamo kunye nabaphangi abahlukileyo, undoqo wayo kukutshintshwa kwamaphepha ememori kumda kunye nesitaki, ukufikelela okukhokelela ekuveliseni ngaphandle (impazamo yephepha);
    • Isicwangciso esongeziweyo se-sysctl vm.unprivileged_userfaultfd, elawula ukubanakho kweenkqubo ezingafanelekanga ukusebenzisa i-userfaultfd () inkqubo yokufowuna;
  • Inkqubo engaphantsi yothungelwano
    • Yongeziwe IPv6 gateway inkxaso ye IPv4 iindlela. Umzekelo, ngoku ungacacisa imigaqo yomzila efana ne "ip ro add 172.16.1.0/24 nge inet6 2001:db8::1 dev eth0";
    • Kwi-ICMPv6, i-ioctl ifowunela i-icmp_echo_ignore_anycast kunye ne-icmp_echo_ignore_multicast ziphunyezwe ukuba zingahoyi i-ICMP ECHO yayo nayiphi na icast kwaye
      iidilesi ezininzi. Yongeziwe ukukwazi ukunciphisa ubunzulu be-ICMPv6 ukusetyenzwa kwepakethe;

    • Yeprotocol yomnatha B.A.T.M.A.N. ("Indlela eNgcono kwiNethiwekhi ye-Adhoc yeselula"), ekuvumela ukuba wenze uthungelwano olunatyisiweyo, indawo nganye edityaniswe kuyo ngeenodi ezingabamelwane, yongezwe inkxaso yosasazo ukusuka kwi-multicast ukuya kwi-unicast, kunye nokukwazi ukulawula nge-sysfs;
    • Kwi-ethtool yongezwa iparameter entsha ye-Fast Link Down, ekuvumela ukuba unciphise ixesha elithathayo ukufumana ulwazi malunga nekhonkco phantsi kwesiganeko se-1000BaseT (phantsi kweemeko eziqhelekileyo ukulibaziseka ukuya kwi-750ms);
    • Ivele ithuba ukubophelela iitonela ze-Foo-Over-UDP kwidilesi ethile, i-interface yenethiwekhi okanye i-socket (eyangaphambili ukubopha kwakusenziwa kuphela ngemaski eqhelekileyo);
    • Kwi-wireless stack ikhuselekile amathuba okuphumeza abaphangi
      I-OWE (Ithuba loShicilelo oluNgenacingo olungena cingo) kwindawo yomsebenzisi;

    • Kwi-Netfilter, inkxaso yosapho lwedilesi ye-inet yongezwe kumatyathanga e-nat (umzekelo, ngoku ungasebenzisa umgaqo omnye wokuguqulela ukwenza ipv4 kunye ne-ipv6, ngaphandle kokwahlula imithetho ye-ipv4 kunye ne-ipv6);
    • Kwi-netlink yongezwa ulawulo engqongqo yokuqinisekisa ngokungqongqo ukuchaneka kwayo yonke imiyalezo kunye neempawu, apho ubungakanani obulindelekileyo beempawu abuvumelekanga ukuba bugqithwe kwaye ukongezwa kwedatha eyongezelelweyo ekupheleni kwemiyalezo akuvumelekanga;
  • Iinkonzo zememori kunye nenkqubo
    • Iflegi ye-CLONE_PIDFD yongezwe kwi-clone () ifowuni yenkqubo, xa icacisiwe, i-descriptor yefayili "pidfd" echongwe ngenkqubo yomntwana eyenziweyo ibuyiselwa kwinkqubo yomzali. Le nkcazo yefayile, umzekelo, ingasetyenziselwa ukuthumela izibonakaliso ngaphandle kokwesaba ukugijima kwimeko yobuhlanga (ngoko nangoko emva kokuthumela umqondiso, i-PID ekujoliswe kuyo inokukhululwa ngenxa yokupheliswa kwenkqubo kwaye ihlale kwenye inkqubo);
    • Kuhlelo lwesibini lwamaqela, ukusebenza kwesilawuli sesikhenkcezisi kongeziweyo, apho unokumisa umsebenzi kwiqela kwaye ukhulule okwethutyana ezinye izixhobo (CPU, I/O, kunye nenkumbulo enokubakho) ukwenza eminye imisebenzi. Ulawulo lwenziwa nge-cgroup.freeze kunye neefayile zolawulo ze-cgroup.events kumthi weqela. Ungeno loku-1 kwi-cgroup.freeze kumisa iinkqubo kwiqela langoku kunye nawo onke amaqela abantwana. Ekubeni ukukhenkceza kuthatha ixesha, ifayile ye-cgroup.events eyongezelelweyo inikezelwa apho unokufumanisa malunga nokugqitywa komsebenzi;
    • Ikhuselwe Ukuthunyelwa ngaphandle kweempawu zememori ezincanyathiselwe kwindawo nganye kwi-sysfs, ekuvumela ukuba ugqibezele kwindawo yomsebenzisi ubume bokusebenza kweebhanki zememori kwiinkqubo ezinenkumbulo engafaniyo;
    • Inkqubo engaphantsi kwe-PSI (i-Pressure Stall Information) iphuculwe, ekuvumela ukuba uhlalutye ulwazi malunga nexesha lokulinda lokufumana izixhobo ezahlukeneyo (CPU, imemori, i-I / O) kwimisebenzi ethile okanye iiseti zeenkqubo kwiqela. Ukusebenzisa i-PSI, abaphathi besithuba somsebenzisi banokuqikelela ngokuchanekileyo ngakumbi umgangatho womthwalo wenkqubo kunye neepateni zokunciphisa xa kuthelekiswa noMyinge woMyinge. Uguqulelo olutsha lubonelela ngenkxaso yokuseta imibundu yobuntununtunu kunye nokukwazi ukusebenzisa i-poll () ukufowuna ukufumana isaziso sokuba imingcele emiselweyo iqhutywe ixesha elithile. Eli nqaku livumela i-Android ukuba ibeke iliso kwimemori yokunqongophala kwinqanaba lokuqala, ukuchonga umthombo weengxaki kunye nokuphelisa izicelo ezingabalulekanga ngaphandle kokubangela iingxaki ezibonakalayo kumsebenzisi. Xa uvavanyo loxinzelelo, izixhobo ze-PSI-based based monitoring monitoring tools zibonise amaxesha e-10 ama-positives ambalwa amanga xa kuthelekiswa nezibalo ze-vmpressure;
    • Ikhowudi yokutshekisha iinkqubo ze-BPF ziphuculwe, ezivumela ukujonga ukuya kumaxesha angama-20 ngokukhawuleza kwiiprogram ezinkulu. Ukuphuculwa kwenza ukuba kube lula ukuphakamisa umda kubungakanani beenkqubo ze-BPF ukusuka kwi-4096 ukuya kwimiyalelo yesigidi;
    • Ngeenkqubo zeBPF kubonelelwe ukukwazi ukufikelela kwidatha yehlabathi, ekuvumela ukuba uchaze izinto eziguquguqukayo zehlabathi kunye neengqungquthela kwiiprogram;
    • Yongeziwe API, ekuvumela ukuba ulawule utshintsho kwiiparamitha ze-sysctl kwiinkqubo ze-BPF;
    • Kuyilo lwe-MIPS32, i-JIT compiler yomatshini we-eBPF wenyani iphunyeziwe;
    • Kwi-architecture ye-32-bit PowerPC, inkxaso ye-KASan (idilesi ye-Kernel sanitizer) isixhobo sokulungisa i-debugging yongeziweyo, enceda ukuchonga iimpazamo xa usebenza ngememori;
    • Kwiinkqubo ze-x86-64, uthintelo ekubekeni iindawo zokulahla i-state ngexesha le-kernel crash (i-crash-dump) kwiindawo zememori ngaphezu kwe-896MB isusiwe;
    • Kwi-architecture ye-s390, inkxaso ye-kernel idilesi yendawo ye-randomization (KASLR) kunye nekhono lokuqinisekisa iisignesha zedijithali xa ulayisha i-kernel nge-kexec_file_load () iphunyeziwe;
    • Kuyilo lwe-PA-RISC, inkxaso eyongeziweyo ye-kernel debugger (KGDB), amanqaku okutsiba kunye neekprobes;
  • Izixhobo
    • Umqhubi ufakiwe Lima yeMali 400/450 GPU, esetyenziswa kwiitshiphusi ezininzi ezindala ezisekwe kulwakhiwo lwe-ARM. Kwii-GPU ezintsha zeMali, umqhubi wePanfrost wongezwe, uxhasa iichips ezisekelwe kwi-Midgard (Mali-T6xx, Mali-T7xx, Mali-T8xx) kunye ne-Bifrost (Mali G3x, G5x, G7x) microarchitectures;
    • Inkxaso eyongeziweyo yezixhobo zomsindo usebenzisa i-firmware evulekileyo Isandi esivulekileyo seFirmware (I-SOF). Ngaphandle kokufumaneka kwabaqhubi abavulekileyo, ikhowudi ye-firmware yeetshiphusi zesandi isahlala ivaliwe kwaye yanikezelwa ngefom yokubini. Iprojekthi ye-Sound Open Firmware yaphuhliswa yi-Intel ukwenza i-firmware evulekileyo yeetshiphusi ze-DSP ezinxulumene nokusebenza komsindo (uGoogle kamva wajoyina uphuhliso). Okwangoku, iprojekthi sele ilungiselele ukufunyanwa kwe-firmware yee-chips zomsindo ze-Intel Baytrail, i-CherryTrail, i-Broadwell, i-ApolloLake, i-GeminiLake, i-CannonLake kunye ne-IceLake;
    • Umqhubi we-Intel DRM (i915) wongeza inkxaso yeechips
      Elkhartlake (Gen11). Kongezwe ii-ID zePCI zeComet Lake (Gen9) chips. Inkxaso yeetshiphusi ze-Icelake izinzile, apho izixhobo ezongezelelweyo ze-PCI zokufanisa nazo zongezelelwe.
      Ivuliwe
      Imowudi yokutshintsha kwe-asynchronous phakathi kwee-buffers ezimbini kwimemori yevidiyo (i-async flip) xa usenza imisebenzi yokubhala nge-mmio, eyandisa kakhulu ukusebenza kwezinye izicelo ze-3D (umzekelo, ukusebenza kwi-3DMark Ice Storm test yanda nge-300-400%). Inkxaso yeteknoloji eyongeziweyo HDCP2.2 (I-High-bandwidth yoKhuseleko lweDijithali yeDijithali) yokufihla iimpawu zevidiyo ezithunyelwa nge-HDMI;

    • Umqhubi we-amdgpu weVega20 GPU yongezwe inkxaso ye-RAS (Ukuthembeka, ukuFumana, ukuSebenza) kunye nenkxaso yokulinga kwi-subsystem ye-SMU 11, eyathatha indawo yobuchwepheshe be-Powerplay. YeGPU Vega12 yongezwe inkxaso kwimowudi BACO (Bus Active, Chip Off). Inkxaso yokuqala eyongeziweyo ye-XGMI, ibhasi enesantya esiphezulu (PCIe 4.0) yoqhagamshelwano lweGPU. Kongezwe izichazi ezilahlekileyo zamakhadi asekwe kwi-Polaris10 GPU kumqhubi we-amdkfd;
    • Umqhubi weNouveau wongeze inkxaso yeebhodi ezisekelwe kwi-chipset ye-NVIDIA Turing 117 (TU117, esetyenziswe kwi-GeForce GTX 1650). IN
      kconfig yongezwe ukusetha ukuvala imisebenzi ephelelwe lixesha engasasetyenziswayo kukhupho lwangoku lwe-libdrm;

    • Inkxaso yezinto zongqamaniso "zexesha" longezwa kwi-DRM API kunye nomqhubi we-amdgpu, ekuvumela ukuba wenze ngaphandle kokuthintela okuqhelekileyo.
    • Umqhubi we-vboxvideo we-VirtualBox virtual GPU ususiwe kwisebe lesiteji ukuya kwisakhiwo esiphambili;
    • Umqhubi we-speed ongeziwe we-GFX SoC ASPEED chip;
    • Inkxaso eyongeziweyo ye-ARM SoC kunye ne-Intel Agilex (SoCFPGA), NXP i.MX8MM, Allwinner (RerVision H3-DVK (H3), Oceanic 5205 5inMFD, ,Beelink GS2 (H6), Orange Pi 3 (H6)), Rockchip (Orange Pi ) iibhodi RK3399, Nanopi NEO4, Veyron-Mighty Chromebook), Amlogic: SEI Robotics SEI510,
      I-ST Micro (stm32mp157a, stm32mp157c), NXP (
      I-Eckelmann ci4x10 (i.MX6DL),

      i.MX8MM EVK (i.MX8MM),

      I-ZII i.MX7 RPU2 (i.MX7),

      I-ZII SPB4 (VF610),

      I-Zii Ultra (i.MX8M),

      TQ TQMa7S (i.MX7Solo),

      TQ TQMa7D (i.MX7Dual),

      Kobo Aura (i.MX50),

      Menlosystems M53 (i.MX53)), NVIDIA Jetson Nano (Tegra T210).

Kwangelo xesha, iLatin American Free Software Foundation yenziwe
ukhetho ngokupheleleyo ikernel yasimahla 5.2 - Linux-free 5.2-gnu, ukucocwa kwe-firmware kunye nezixhobo zomqhubi eziqukethe amacandelo angekho simahla okanye amacandelo ekhowudi, ububanzi bawo bukhawulelwe ngumenzi. Ukukhutshwa okutsha kubandakanya ukufakwa kwefayile
Isandi esivulekileyo seFirmware. Ukulayishwa kweeblobhu kubaqhubi kuvaliwe
mt7615, rtw88, rtw8822b, rtw8822c, btmtksdio, iqs5xx, ishtp kunye ne-ucsi_ccg. Ikhowudi yokucoca i-blob kwi-ixp4xx, imx-sdma, amdgpu, nouveau kunye ne-goya abaqhubi kunye ne-subsystems, kunye nakumaxwebhu e-microcode, ihlaziywe. Kuyekile ukucoca iiblobhu kumqhubi we-r8822be ngenxa yokususwa kwayo.

umthombo: opennet.ru

Yongeza izimvo