ื“ื™ืกืงื•ืกื™ืข: ื“ื™ OpenROAD ืคึผืจื•ื™ืขืงื˜ ื‘ื“ืขื” ืฆื• ืกืึธืœื•ื•ืข ื“ื™ ืคึผืจืึธื‘ืœืขื ืคื•ืŸ ืึธื˜ืึทืžื™ื™ืฉืึทืŸ ืคื•ืŸ ืคึผืจืึทืกืขืกืขืจ ืคึผืœืึทืŸ

ื“ื™ืกืงื•ืกื™ืข: ื“ื™ OpenROAD ืคึผืจื•ื™ืขืงื˜ ื‘ื“ืขื” ืฆื• ืกืึธืœื•ื•ืข ื“ื™ ืคึผืจืึธื‘ืœืขื ืคื•ืŸ ืึธื˜ืึทืžื™ื™ืฉืึทืŸ ืคื•ืŸ ืคึผืจืึทืกืขืกืขืจ ืคึผืœืึทืŸ
ืคืึธื˜ืึธ - Pexels - CC BY

ืื•ื™ืฃ ืœื•ื™ื˜ PWC, ื“ื™ ืกืขืžื™ืงืึทื ื“ืึทืงื˜ืขืจ ื˜ืขื›ื ืึธืœืึธื’ื™ืข ืžืึทืจืง ืื™ื– ื’ืจืึธื•ื™ื ื’ - ืœืขืฆื˜ืข ื™ืึธืจ ืขืก ืจื™ื˜ืฉื˜ $ 481 ื‘ื™ืœื™ืึธืŸ. ืื‘ืขืจ ื–ื™ื™ึทืŸ ื•ื•ื•ึผืงืก ืงื•ืจืก ืœืขืฆื˜ื ืก ื“ื™ืงืจื™ืกื˜. ืกื™ื‘ื•ืช ืคึฟืึทืจ ื“ื™ ืึทืจืึธืคึผื’ื™ื™ืŸ ืึทืจื™ื™ึทื ื ืขืžืขืŸ ืงืึทื ืคื™ื•ื–ื™ื ื’ ืžื™ื˜ืœ ืคึผืœืึทืŸ ืคึผืจืึทืกืขืกืึทื– ืื•ืŸ ืึท ืคืขืœืŸ ืคื•ืŸ ืึธื˜ืึทืžื™ื™ืฉืึทืŸ.

ืขื˜ืœืขื›ืข ื™ืึธืจ ืฆื•ืจื™ืง, ืขื ื“ื–ืฉืึทื ื™ืจื– ืคื•ืŸ ื™ื ื˜ืขืœ ะฟะธัะฐะปะธืึทื– ื•ื•ืขืŸ ืื™ืจ ืฉืึทืคึฟืŸ ืึท ื”ื•ื™ืš-ืคืึธืจืฉื˜ืขืœื•ื ื’ ืžื™ื™ืงืจืึธื•ืคึผืจืึทืกืขืกืขืจ ืื™ืจ ื”ืึธื‘ืŸ ืฆื• ื ื•ืฆืŸ 100-150 ื‘ืึทื–ื•ื ื“ืขืจ ื•ื•ื™ื™ื›ื•ื•ืืจื’ ืžื›ืฉื™ืจื™ื (ืขื“ืึท). ื“ื™ ืกื™ื˜ื•ืึทืฆื™ืข ืงืขื ืขืŸ ื–ื™ื™ืŸ ืึทื’ืจืึทื•ื•ื™ื™ื˜ื™ื“ ืื™ืŸ ื“ื™ ืคืึทืœ ืคื•ืŸ ื›ืขื˜ืขืจืึทื“ื–ืฉื™ื ื™ืึทืก ื“ืขื•ื•ื™ืกืขืก, ื“ื™ ืึทืจืงืึทื˜ืขืงื˜ืฉืขืจ ืคื•ืŸ ื•ื•ืึธืก ื›ื•ืœืœ ืขื˜ืœืขื›ืข ืคืึทืจืฉื™ื“ืขื ืข ื˜ื™ื™ืคึผืก ืคื•ืŸ ื˜ืฉื™ืคึผืก - ืึทืกื™ืง, ืคืคึผื’ืึท, ืงืคึผื• ืึธื“ืขืจ ื’ืคึผื•. ื•ื•ื™ ืึท ืจืขื–ื•ืœื˜ืึทื˜, ืคึผืœืึทืŸ ืขืจืจืึธืจืก ืคืึทืœืŸ ื•ื•ืึธืก ืคืึทืจื”ืึทืœื˜ืŸ ื“ื™ ืžืขืœื“ื•ื ื’ ืคื•ืŸ ืคึผืจืึธื“ื•ืงื˜ืŸ.

ื˜ืจืึธืฅ ื“ื™ ื’ืจื•ื™ืก ื ื•ืžืขืจ ืคื•ืŸ ืึทื’ื–ื™ืœื™ืขืจื™ ืžื›ืฉื™ืจื™ื, ืขื ื“ื–ืฉืึทื ื™ืจื– ื–ืขื ืขืŸ ื ืึธืš ื’ืขืฆื•ื•ื•ื ื’ืขืŸ ืฆื• ื˜ืึธืŸ ืขื˜ืœืขื›ืข ืึทืจื‘ืขื˜ ืžืึทื ื™ื•ืึทืœื™. ื“ื™ ืžื—ื‘ืจื™ื ืคื•ืŸ ื“ืขื ื‘ื•ืš "ืึทื•ื•ืึทื ืกื™ืจื˜ืข ืœืึธื’ื™ืง ืกื™ื ื˜ืขื–"ื–ื™ื™ ื–ืึธื’ืŸ ืึทื– ืžืืœ ื“ื™ื–ื™ื™ื ืขืจื– ื”ืึธื‘ืŸ ืฆื• ืฉืจื™ื™ึทื‘ืŸ ืกืงืจื™ืคึผืก ืื™ืŸ Skill ืึธื“ืขืจ Python ืคื•ืŸ ืฆื•ื•ื™ื™ ืžื™ืœื™ืึธืŸ ืฉื•ืจื•ืช ืฆื• ืฉืึทืคึฟืŸ ืœื™ื™ื‘ืจืขืจื™ื– ืžื™ื˜ ืกืขืœื–.

ืกืงืจื™ืคึผืก ื–ืขื ืขืŸ ืื•ื™ืš ื’ืขืฉืจื™ื‘ืŸ ืฆื• ืคึผืึทืจืก ืจื™ืคึผืึธืจืฅ ื“ื–ืฉืขื ืขืจื™ื™ื˜ืึทื“ ื“ื•ืจืš EDA ืกื™ืกื˜ืขืžืขืŸ. ื•ื•ืขืŸ ื“ืขื•ื•ืขืœืึธืคึผื™ื ื’ ืึท ืฉืคึผืึธืŸ ืžื™ื˜ ืึท 22nm ืคึผืจืึธืฆืขืก ื˜ืขื›ื ืึธืœืึธื’ื™ืข, ื“ื™ ืจื™ืคึผืึธืจืฅ ืงืขื ืขืŸ ื ืขืžืขืŸ ืึทืจื•ื™ืฃ ืฆื• 30 ื˜ืขืจืึทื‘ื™ื˜ืขืก.

DARPA ื‘ืึทืฉืœืึธืกืŸ ืฆื• ืคืึทืจืจื™ื›ื˜ืŸ ื“ื™ ืกื™ื˜ื•ืึทืฆื™ืข ืื•ืŸ ืคึผืจื•ื‘ื™ืจืŸ ืฆื• ืกื˜ืึทื ื“ืขืจื“ื™ื™ื– ื“ื™ ืคึผืœืึทืŸ ืคึผืจืึทืกืขืกืึทื–. ืื™ืŸ ื“ืขืจ ืึทื’ืขื ื˜ื•ืจ ืื•ื™ืš considerืึทื– ื™ื’ื–ื™ืกื˜ื™ื ื’ ืžืขื˜ื”ืึธื“ืก ืคึฟืึทืจ ืงืจื™ื™ื™ื˜ื™ื ื’ ื˜ืฉื™ืคึผืก ื–ืขื ืขืŸ ืึทื•ื˜ื“ื™ื™ื˜ื™ื“. ืืจื’ืื ื™ื–ืืฆื™ืข ืœืึธื ื˜ืฉื˜ ืคื™ื ืฃ ื™ืึธืจ ืคึผืจืึธื’ืจืึทื OpenROAD, ื•ื•ืึธืก ื™ื™ืžื– ืฆื• ืึทื ื˜ื•ื•ื™ืงืœืขืŸ ื ื™ื™ึทืข ืžื›ืฉื™ืจื™ื ืฆื• ืึธื˜ืึทืžื™ื™ื˜ ืฉืคึผืึธืŸ ืคึผืœืึทืŸ ืคึผืจืึทืกืขืกืึทื–.

ื•ื•ืึธืก ืžื™ืŸ ืคื•ืŸ ืคึผืจืึธื’ืจืึทื

ื“ืขืจ ืคึผืจืึธื’ืจืึทื ื™ื ื•ื•ืึทืœื•ื•ื– ืขื˜ืœืขื›ืข ืคึผืจืึทื“ื–ืฉืขืงืก ื•ื•ืึธืก ื ื•ืฆืŸ ืžืึทืฉื™ืŸ ืœืขืจื ืขืŸ ืื•ืŸ ื•ื•ืึธืœืงืŸ ื˜ืขืงื ืึทืœืึทื“ื–ืฉื™ื– ืฆื• ืึธื˜ืึทืžื™ื™ื˜ ื™ื—ื™ื“ ืกื˜ืึทื’ืขืก ืคื•ืŸ ืฉืคึผืึธืŸ ืฉืึทืคื•ื ื’. ื•ื•ื™ ืึท ื˜ื™ื™ืœ ืคื•ืŸ ื“ืขืจ ืื™ื ื™ืฆื™ืื˜ื™ื•ื• ื–ืขื ืขืŸ ื“ืขื•ื•ืขืœืึธืคึผืขื“ (ื“ื™ืึทื’ืจืึทืžืข 1) ืžืขืจ ื•ื•ื™ ืฆืขืŸ ื™ื ืกื˜ืจืึทืžืึทื ืฅ. ื•ื•ื™ื™ึทื˜ืขืจ ืžื™ืจ ื•ื•ืขืœืŸ ืจืขื“ืŸ ืื™ืŸ ืžืขืจ ื“ืขื˜ืึทืœ ื•ื•ืขื’ืŸ ืขื˜ืœืขื›ืข ืคื•ืŸ โ€‹โ€‹ื–ื™ื™: ืคืœืึธื• ืจืึทื ืขืจ, ืจืขืคึผืœืึทืกืข, TritonCTS, OpenSTA.

ืœื•ื™ืคืŸ ืจืึทื ืขืจ ืื™ื– ืึท ื’ืขืฆื™ื™ึทื’ ืคึฟืึทืจ ืึธื ืคื™ืจื•ื ื’ RTL ืื•ืŸ GDSII ืœื™ื™ื‘ืจืขืจื™ื–. ื“ื™ ืœืขืฆื˜ืข ื–ืขื ืขืŸ ื“ืึทื˜ืึทื‘ื™ื™ืก ื˜ืขืงืขืก ื•ื•ืึธืก ื–ืขื ืขืŸ ืึทืŸ ื™ื ื“ืึทืกื˜ืจื™ ื ืึธืจืžืึทืœ ืคึฟืึทืจ ื™ืงืกื˜ืฉื™ื™ื ื“ื–ืฉื™ื ื’ ืื™ื ืคึฟืึธืจืžืึทืฆื™ืข ื•ื•ืขื’ืŸ ื™ื ืึทื’ืจื™ื™ื˜ื™ื“ ืกืขืจืงืึทืฅ ืื•ืŸ ื–ื™ื™ืขืจ ื˜ืึธืคึผืึธืœืึธื’ื™ืขืก. ื“ื™ ืœื™ื™ื–ื•ื ื’ ืื™ื– ื‘ืื–ื™ืจื˜ ืื•ื™ืฃ Docker ืงืึทื ื˜ื™ื™ื ืขืจ ื˜ืขื›ื ืึธืœืึธื’ื™ืข. ืื™ืจ ืงืขื ืขืŸ ืœื•ื™ืคืŸ Flow Runner ืื™ืŸ ื“ื™ ื•ื•ืึธืœืงืŸ ืื•ืŸ ืœืึธื•ืงืึทืœื™. ื“ืขืจ ื™ื™ึทื ืžืึธื ื˜ื™ืจื•ื ื’ ืคื™ืจืขืจ ืื™ื– ืื™ืŸ ื“ืขืจ ื‘ืึทืึทืžื˜ืขืจ ืจื™ืคึผืึทื–ืึทื˜ืึธืจื™ ืื•ื™ืฃ GitHub.

RePlAce ืื™ื– ืึท ื•ื•ืึธืœืงืŸ ืœื™ื™ื–ื•ื ื’ ื‘ืื–ื™ืจื˜ ืื•ื™ืฃ ืžืึทืฉื™ืŸ ืœืขืจื ืขืŸ, ื•ื•ืึธืก ืื™ื– ืคืึทืจืึทื ื˜ื•ื•ืึธืจื˜ืœืขืš ืคึฟืึทืจ ืคึผืœื™ื™ืกื™ื ื’ ืงืึทืžืคึผืึธื•ื ืึทื ืฅ ืื•ื™ืฃ ืึท ืฉืคึผืึธืŸ ืื•ืŸ ืึธื˜ืึทืžื™ื™ื˜ื™ื ื’ ืจื•ื˜ื™ื ื’. ื“ื•ืจืš ืขื˜ืœืขื›ืข ื“ืึทื˜ืŸ, ื™ื ื˜ืขืœื™ื’ืขื ื˜ ืึทืœื’ืขืจื™ื“ืึทืžื– ืคืึทืจื’ืจืขืกืขืจืŸ ื“ื™ ืขืคืขืงื˜ื™ื•ื•ืงื™ื™ึทื˜ ืคื•ืŸ ื“ื™ ื’ืขืฆื™ื™ึทื’ ืžื™ื˜ 2-10% ืงืึทืžืคึผืขืจื“ ืžื™ื˜ ืงืœืืกื™ืฉืข ืกื™ืกื˜ืขืžืขืŸ. ืื™ืŸ ืึทื“ื™ืฉืึทืŸ, ื™ืžืคึผืœืึทืžืขื ื˜ื™ื™ืฉืึทืŸ ืื™ืŸ ื“ื™ ื•ื•ืึธืœืงืŸ ืžืื›ื˜ ืกืงื™ื™ืœื™ื ื’ ื’ืจื™ื ื’ืขืจ. ื™ื ืกื˜ืึทืœืœืึทื˜ื™ืึธืŸ ืื•ืŸ ืงืึทื ืคื™ื’ื™ืขืจื™ื™ืฉืึทืŸ ื’ื™ื™ื“ ืื™ื– ืื•ื™ืš ื‘ื ื™ืžืฆื ืื™ืŸ ื“ื™ ืจื™ืคึผืึทื–ืึทื˜ืึธืจื™.

TritonCTS - ืึท ื ื•ืฆืŸ ืคึฟืึทืจ ืึธืคึผื˜ื™ืžื™ื–ื™ื ื’ ื–ื™ื™ื’ืขืจ ืคึผืึทืœืกื™ื– ืกืึทืคึผืœื™ื™ื“ ืฆื• ื“ื™ ืฉืคึผืึธืŸ. ื”ืขืœืคึผืก ืžืึทืจืฉืจื•ื˜ ื–ื™ื™ื’ืขืจ ืกื™ื’ื ืึทืœื– ืฆื• ืึทืœืข ืคึผืึทืจืฅ ืคื•ืŸ ื“ื™ ืžื™ื˜ืœ ืžื™ื˜ ื“ื™ ื–ืขืœื‘ืข ื“ื™ืœื™ื™ื–. ื“ื™ ืึทืคึผืขืจื™ื™ื˜ื™ื ื’ ืคึผืจื™ื ืฆื™ืคึผ ืื™ื– ื‘ืื–ื™ืจื˜ ืื•ื™ืฃ ื”-ื‘ื™ื™ืžืขืจ. ื“ืขื ืฆื•ื’ืึทื ื’ ืจื™ื™ื–ืึทื– ืกื™ื’ื ืึทืœ ืคืึทืจืฉืคึผืจื™ื™ื˜ื•ื ื’ ืขืคืขืงื˜ื™ื•ื•ืงื™ื™ึทื˜ ื“ื•ืจืš 30% ืงืึทืžืคึผืขืจื“ ืžื™ื˜ ื˜ืจืื“ื™ืฆื™ืื ืขืœืŸ ืžืขื˜ื”ืึธื“ืก. ื“ื™ ื“ืขื•ื•ืขืœืึธืคึผืขืจืก ื–ืึธื’ืŸ ืึทื– ืื™ืŸ ื“ืขืจ ืฆื•ืงื•ื ืคึฟื˜ ื“ืขื ืฆื™ืคืขืจ ืงืขื ืขืŸ ื–ื™ื™ืŸ ื’ืขื•ื•ืืงืกืŸ ืฆื• 56%. TritonCTS ืžืงื•ืจ ืงืึธื“ ืื•ืŸ ืกืงืจื™ืคึผืก ื‘ื ื™ืžืฆื ืื•ื™ืฃ GitHub.

ืึธืคึผืขื ืกื˜ืึท - ืึท ืžืึธื˜ืึธืจ ืคึฟืึทืจ ืกื˜ืึทื˜ื™ืง ื˜ื™ื™ืžื™ื ื’ ืึทื ืึทืœื™ืกื™ืก. ืขืก ื’ื™ื˜ ื“ื™ ื“ื™ื–ื™ื™ื ืขืจ ื“ื™ ื’ืขืœืขื’ื ื”ื™ื™ื˜ ืฆื• ืงืึธื ื˜ืจืึธืœื™ืจืŸ ื“ื™ ืคืึทื ื’ืงืฉืึทื ืึทืœื™ื˜ื™ ืคื•ืŸ ื“ื™ ืฉืคึผืึธืŸ ืื™ื™ื“ืขืจ ืขืก ืื™ื– ืคืืงื˜ื™ืฉ ืคืืจื–ืืžืœื˜. ื‘ื™ื™ึทืฉืคึผื™ืœ ืงืึธื“ ืื™ืŸ OpenSTA ืงื•ืงื˜ ื•ื•ื™ ืื–ื•ื™.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

ื“ื™ ื ื•ืฆืŸ ืฉื˜ื™ืฆื˜ ื ืขื˜ืœื™ืกื˜ ื“ื™ืกืงืจื™ืคึผืฉืึทื ื– ืคื•ืŸ Verilog ืงืึธื“, ืœื™ื‘ืขืจื˜ื™ ืคึฟืึธืจืžืึทื˜ ืœื™ื™ื‘ืจืขืจื™ื–, SDC ื˜ืขืงืขืก, ืขื˜ืง.

ืึทื“ื•ื•ืึทื ื˜ื™ื“ื–ืฉื™ื– ืื•ืŸ ื“ื™ืกืึทื“ื•ื•ืึทื ื˜ื™ื“ื–ืฉื™ื–

ืขืงืกืคึผืขืจืฅ ืคื•ืŸ IBM ืื•ืŸ IEEE ืกืขืœืึทื‘ืจื™ื™ื˜ืึทื– ื•ื•ืึธืœืงืŸ ื˜ืขืงื ืึทืœืึทื“ื–ืฉื™ื– ืื•ืŸ ืžืึทืฉื™ืŸ ืœืขืจื ืขืŸ ื–ืขื ืขืŸ ืœืึทื ื’ ืึธื•ื•ื•ืขืจื“ื• ืคึฟืึทืจ ื ื•ืฆืŸ ืื™ืŸ ืฉืคึผืึธืŸ ืคึผืจืึธื“ื•ืงืฆื™ืข. ืื™ืŸ ื–ื™ื™ืขืจ ืžื™ื™ื ื•ื ื’, ื“ื™ DARPA ืคึผืจื•ื™ืขืงื˜ ืงืขื ืขืŸ ื•ื•ืขืจืŸ ืึท ืžืฆืœื™ื— ื‘ื™ื™ืฉืคึผื™ืœ ืคื•ืŸ ื“ื™ ื™ืžืคึผืœืึทืžืขื ื˜ื™ื™ืฉืึทืŸ ืคื•ืŸ ื“ืขื ื’ืขื“ืึทื ืง ืื•ืŸ ื•ื•ืขื˜ ืฉื˜ืขืœืŸ ื“ื™ ืึธื ื”ื™ื™ื‘ ืคื•ืŸ ืขื ื“ืขืจื•ื ื’ืขืŸ ืื™ืŸ ื“ื™ ืื™ื ื“ื•ืกื˜ืจื™ืข.

ืขืก ืื™ื– ืื•ื™ืš ื“ืขืจื•ื•ืึทืจื˜ ืึทื– ื“ื™ ืึธืคึฟืŸ ื ืึทื˜ื•ืจ ืคื•ืŸ OpenROAD ื•ื•ืขื˜ ืžืึทื›ืŸ ืึท ืฉื˜ืึทืจืง ืงื”ืœ ืึทืจื•ื ื“ื™ ืžื›ืฉื™ืจื™ื ืื•ืŸ ืฆื•ืฆื™ืขืŸ ื ื™ื™ึทืข ืกื˜ืึทืจื˜ืึทืคึผืก.

ื“ื™ืกืงื•ืกื™ืข: ื“ื™ OpenROAD ืคึผืจื•ื™ืขืงื˜ ื‘ื“ืขื” ืฆื• ืกืึธืœื•ื•ืข ื“ื™ ืคึผืจืึธื‘ืœืขื ืคื•ืŸ ืึธื˜ืึทืžื™ื™ืฉืึทืŸ ืคื•ืŸ ืคึผืจืึทืกืขืกืขืจ ืคึผืœืึทืŸ
ืคืึธื˜ืึธ - Pexels - CC BY

ืขืก ื–ืขื ืขืŸ ืฉื•ื™ืŸ ืคึผืึทืจื˜ื™ืกืึทืคึผืึทื ืฅ - ืึท ืœืึทื‘ืึธืจืึทื˜ืึธืจื™ืข ื“ืขื•ื•ืขืœืึธืคึผื™ื ื’ ื˜ืฉื™ืคึผืก ื‘ืื–ื™ืจื˜ ืื™ืŸ ื“ื™ ืื•ื ื™ื•ื•ืขืจืกื™ื˜ืขื˜ ืคื•ืŸ ืžื™ืฉื™ื’ืŸ, ื•ื•ืขื˜ ื–ื™ื™ืŸ ื“ืขืจ ืขืจืฉื˜ืขืจ, ื•ื•ืึธืก ื•ื•ืขื˜ ืคึผืจื•ื‘ื™ืจืŸ OpenROAD ืขืคึฟืขื ืขืŸ ืžืงื•ืจ ืžื›ืฉื™ืจื™ื. ืึธื‘ืขืจ ืขืก ืื™ื– ื ืึธืš ื ื™ืฉื˜ ื‘ืึทื•ื•ื•ืกื˜ ืฆื™ ื ื™ื™ึทืข ืกืึทืœื•ืฉืึทื ื– ืงืขื ืขืŸ ื”ืึธื‘ืŸ ืึท ื‘ืืžืขืจืงื˜ ื•ื•ื™ืจืงื•ื ื’ ืื•ื™ืฃ ื“ื™ ืคึผืจื™ื™ึทื– ืคื•ืŸ ืœืขืฆื˜ ืคึผืจืึธื“ื•ืงื˜ืŸ.

ืงื•ื™ืœืขืœื“ื™ืง, ื“ื™ ืžื›ืฉื™ืจื™ื ื•ื•ืึธืก ื–ืขื ืขืŸ ื“ืขื•ื•ืขืœืึธืคึผืขื“ ืื•ื ื˜ืขืจ ื“ื™ ืคื™ืจืขืจืฉืึทืคื˜ ืคื•ืŸ DARPA ื–ืขื ืขืŸ ื’ืขืจื™ื›ื˜ ืฆื• ื”ืึธื‘ืŸ ืึท positive ืคึผืจืึทืœ ืื•ื™ืฃ ื“ื™ ืคึผืจืึทืกืขืกืขืจ ืื™ื ื“ื•ืกื˜ืจื™ืข, ืื•ืŸ ืžืขืจ ื ื™ื™ึทืข ืคึผืจืึทื“ื–ืฉืขืงืก ื•ื•ืขืœืŸ ืึธื ื”ื™ื™ื‘ืŸ ืฆื• ืึทืจื•ื™ืกืงื•ืžืขืŸ ืื™ืŸ ื“ืขื ื’ืขื’ื ื˜. ืึท ื‘ื™ื™ึทืฉืคึผื™ืœ ื•ื•ืึธืœื˜ ื–ื™ื™ืŸ ืึท ื’ืขืฆื™ื™ึทื’ GEDA - ืขืก ืึทืœืึทื•ื– ืื™ืจ ืฆื• ืคึผืœืึทืŸ ื˜ืฉื™ืคึผืก ืžื™ื˜ ืึท ืึทื ืœื™ืžืึทื˜ืึทื“ ื ื•ืžืขืจ ืคื•ืŸ ืงืึทืžืคึผืึธื•ื ืึทื ืฅ. gEDA ื›ื•ืœืœ ื™ื•ื˜ื™ืœืึทื˜ื™ื– ืคึฟืึทืจ ืขื“ื™ื˜ื™ื ื’ ืื•ืŸ ืžืึธื“ืขืœื™ื ื’ ืžื™ืงืจืึธืกื™ืจืงื•ื™ืฅ ืื•ืŸ ื‘ืจืขื˜ ืจื•ื˜ื™ื ื’. ื“ื™ ืœื™ื™ื–ื•ื ื’ ืื™ื– ื“ืขื•ื•ืขืœืึธืคึผืขื“ ืคึฟืึทืจ UNIX ืคึผืœืึทื˜ืคืึธืจืžืก, ืึธื‘ืขืจ ืึท ื ื•ืžืขืจ ืคื•ืŸ ื–ื™ื™ึทืŸ ืงืึทืžืคึผืึธื•ื ืึทื ืฅ ืื•ื™ืš ืึทืจื‘ืขื˜ ืื•ื ื˜ืขืจ Windows. ืื™ืจ ืงืขื ืขืŸ ื’ืขืคึฟื™ื ืขืŸ ืึท ื•ื•ืขื’ื•ื•ื™ื™ึทื–ืขืจ ืฆื• ืึทืจื‘ืขื˜ืŸ ืžื™ื˜ ื–ื™ื™ ืื™ืŸ ื“ื™ ื“ืึทืงื™ื•ืžืขื ื˜ื™ื™ืฉืึทืŸ ืื•ื™ืฃ ื“ื™ ืคึผืจื•ื™ืขืงื˜ ื•ื•ืขื‘ื–ื™ื™ื˜ืœ.

ืคืจื™ืœื™ ื‘ื ื™ืžืฆื ืžื›ืฉื™ืจื™ื ื’ืขื‘ืŸ ืคืจื™ื™ึท ืึธืจื’ืึทื ืึทื–ื™ื™ืฉืึทื ื– ืื•ืŸ ืกื˜ืึทืจื˜ืึทืคึผืก ืžืขืจ ืึธืคึผืฆื™ืขืก. ืขืก ืื™ื– ืžืขื’ืœืขืš ืึทื– ื“ื™ ื ื™ื™ึทืข ืึทืคึผืจืึธื•ื˜ืฉื™ื– ืคื•ืŸ OpenROAD ืฆื• EDA ื’ืขืฆื™ื™ึทื’ ืึทื ื˜ื•ื•ื™ืงืœื•ื ื’ ืื•ืŸ ืฉืคึผืึธืŸ ืคึผืœืึทืŸ ืงืขืŸ ื•ื•ืขืจืŸ ืึทืŸ ื™ื ื“ืึทืกื˜ืจื™ ื ืึธืจืžืึทืœ ืžื™ื˜ ืฆื™ื™ื˜.

ื•ื•ืึธืก ืžื™ืจ ืฉืจื™ื™ึทื‘ืŸ ื•ื•ืขื’ืŸ ืื™ืŸ ืื•ื ื“ื–ืขืจ ืคึฟื™ืจืžืข ื‘ืœืึธื’:

ืžืงื•ืจ: www.habr.com

ืœื™ื™ื’ืŸ ืึท ื‘ืึทืžืขืจืงื•ื ื’