ืคืึธืืึธ -
ืืืืฃ
ืขืืืขืืข ืืึธืจ ืฆืืจืืง, ืขื ืืืฉืึทื ืืจื ืคืื ืื ืืขื
ืืจืึธืฅ ืื ืืจืืืก ื ืืืขืจ ืคืื ืึทืืืืืืขืจื ืืืฉืืจืื, ืขื ืืืฉืึทื ืืจื ืืขื ืขื ื ืึธื ืืขืฆืืืื ืืขื ืฆื ืืึธื ืขืืืขืืข ืึทืจืืขื ืืึทื ืืืึทืื. ืื ืืืืจืื ืคืื ืืขื ืืื "
ืึทืืืึทื ืกืืจืืข ืืึธืืืง ืกืื ืืขื "ืืื ืืึธืื ืึทื ืืื ืืืืืื ืขืจืืืึธืื ืฆื ืฉืจืืึทืื ืกืงืจืืคึผืก ืืื Skill ืึธืืขืจ Python ืคืื ืฆืืืื ืืืืืึธื ืฉืืจืืช ืฆื ืฉืึทืคึฟื ืืืืืจืขืจืื ืืืืกืขืื .ืกืงืจืืคึผืก ืืขื ืขื ืืืื ืืขืฉืจืืื ืฆื ืคึผืึทืจืก ืจืืคึผืึธืจืฅ ืืืฉืขื ืขืจืืืืึทื ืืืจื EDA ืกืืกืืขืืขื. ืืืขื ืืขืืืขืืึธืคึผืื ื ืึท ืฉืคึผืึธื ืืื ืึท 22nm ืคึผืจืึธืฆืขืก ืืขืื ืึธืืึธืืืข, ืื ืจืืคึผืึธืจืฅ ืงืขื ืขื ื ืขืืขื ืึทืจืืืฃ ืฆื 30 ืืขืจืึทืืืืขืก.
DARPA ืืึทืฉืืึธืกื ืฆื ืคืึทืจืจืืืื ืื ืกืืืืึทืฆืืข ืืื ืคึผืจืืืืจื ืฆื ืกืืึทื ืืขืจืืืื ืื ืคึผืืึทื ืคึผืจืึทืกืขืกืึทื. ืืื ืืขืจ ืึทืืขื ืืืจ ืืืื
ืืืึธืก ืืื ืคืื ืคึผืจืึธืืจืึทื
ืืขืจ ืคึผืจืึธืืจืึทื ืื ืืืึทืืืื ืขืืืขืืข ืคึผืจืึทืืืฉืขืงืก ืืืึธืก ื ืืฆื ืืึทืฉืื ืืขืจื ืขื ืืื ืืืึธืืงื ืืขืงื ืึทืืึทืืืฉืื ืฆื ืึธืืึทืืืื ืืืื ืกืืึทืืขืก ืคืื ืฉืคึผืึธื ืฉืึทืคืื ื. ืืื ืึท ืืืื ืคืื ืืขืจ ืืื ืืฆืืืืืื
ืืืืคื ืจืึทื ืขืจ ืืื ืึท ืืขืฆืืึทื ืคึฟืึทืจ ืึธื ืคืืจืื ื RTL ืืื GDSII ืืืืืจืขืจืื. ืื ืืขืฆืืข ืืขื ืขื ืืึทืืึทืืืืก ืืขืงืขืก ืืืึธืก ืืขื ืขื ืึทื ืื ืืึทืกืืจื ื ืึธืจืืึทื ืคึฟืึทืจ ืืงืกืืฉืืื ืืืฉืื ื ืืื ืคึฟืึธืจืืึทืฆืืข ืืืขืื ืื ืึทืืจืืืืื ืกืขืจืงืึทืฅ ืืื ืืืืขืจ ืืึธืคึผืึธืืึธืืืขืก. ืื ืืืืืื ื ืืื ืืืืืจื ืืืืฃ Docker ืงืึทื ืืืื ืขืจ ืืขืื ืึธืืึธืืืข. ืืืจ ืงืขื ืขื ืืืืคื Flow Runner ืืื ืื ืืืึธืืงื ืืื ืืึธืืงืึทืื. ืืขืจ ืืึทื ืืึธื ืืืจืื ื ืคืืจืขืจ ืืื ืืื ืืขืจ ืืึทืึทืืืขืจ ืจืืคึผืึทืืึทืืึธืจื
RePlAce ืืื ืึท ืืืึธืืงื ืืืืืื ื ืืืืืจื ืืืืฃ ืืึทืฉืื ืืขืจื ืขื, ืืืึธืก ืืื ืคืึทืจืึทื ืืืืึธืจืืืขื ืคึฟืึทืจ ืคึผืืืืกืื ื ืงืึทืืคึผืึธืื ืึทื ืฅ ืืืืฃ ืึท ืฉืคึผืึธื ืืื ืึธืืึทืืืืืื ื ืจืืืื ื. ืืืจื
TritonCTS - ืึท ื ืืฆื ืคึฟืึทืจ ืึธืคึผืืืืืืื ื ืืืืืขืจ ืคึผืึทืืกืื ืกืึทืคึผืืืื ืฆื ืื ืฉืคึผืึธื. ืืขืืคึผืก ืืึทืจืฉืจืื ืืืืืขืจ ืกืืื ืึทืื ืฆื ืึทืืข ืคึผืึทืจืฅ ืคืื ืื ืืืื ืืื ืื ืืขืืืข ืืืืืื. ืื ืึทืคึผืขืจืืืืื ื ืคึผืจืื ืฆืืคึผ ืืื ืืืืืจื ืืืืฃ
ืึธืคึผืขื ืกืืึท - ืึท ืืึธืืึธืจ ืคึฟืึทืจ ืกืืึทืืืง ืืืืืื ื ืึทื ืึทืืืกืืก. ืขืก ืืื ืื ืืืืืื ืขืจ ืื ืืขืืขืื ืืืื ืฆื ืงืึธื ืืจืึธืืืจื ืื ืคืึทื ืืงืฉืึทื ืึทืืืื ืคืื ืื ืฉืคึผืึธื ืืืืืขืจ ืขืก ืืื ืคืืงืืืฉ ืคืืจืืืืื. ืืืึทืฉืคึผืื ืงืึธื ืืื OpenSTA
@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners
ืื ื ืืฆื ืฉืืืฆื ื ืขืืืืกื ืืืกืงืจืืคึผืฉืึทื ื ืคืื Verilog ืงืึธื, ืืืืขืจืื ืคึฟืึธืจืืึทื ืืืืืจืขืจืื, SDC ืืขืงืขืก, ืขืืง.
ืึทืืืืึทื ืืืืืฉืื ืืื ืืืกืึทืืืืึทื ืืืืืฉืื
ืขืงืกืคึผืขืจืฅ ืคืื IBM ืืื IEEE
ืขืก ืืื ืืืื ืืขืจืืืึทืจื ืึทื ืื ืึธืคึฟื ื ืึทืืืจ ืคืื OpenROAD ืืืขื ืืึทืื ืึท ืฉืืึทืจืง ืงืื ืึทืจืื ืื ืืืฉืืจืื ืืื ืฆืืฆืืขื ื ืืึทืข ืกืืึทืจืืึทืคึผืก.
ืคืึธืืึธ -
ืขืก ืืขื ืขื ืฉืืื ืคึผืึทืจืืืกืึทืคึผืึทื ืฅ - ืึท ืืึทืืึธืจืึทืืึธืจืืข ืืขืืืขืืึธืคึผืื ื ืืฉืืคึผืก ืืืืืจื ืืื ืื ืืื ืืืืขืจืกืืืขื ืคืื ืืืฉืืื,
ืงืืืืขืืืืง, ืื ืืืฉืืจืื ืืืึธืก ืืขื ืขื ืืขืืืขืืึธืคึผืขื ืืื ืืขืจ ืื ืคืืจืขืจืฉืึทืคื ืคืื DARPA ืืขื ืขื ืืขืจืืื ืฆื ืืึธืื ืึท positive ืคึผืจืึทื ืืืืฃ ืื ืคึผืจืึทืกืขืกืขืจ ืืื ืืืกืืจืืข, ืืื ืืขืจ ื ืืึทืข ืคึผืจืึทืืืฉืขืงืก ืืืขืื ืึธื ืืืืื ืฆื ืึทืจืืืกืงืืืขื ืืื ืืขื ืืขืื ื. ืึท ืืืึทืฉืคึผืื ืืืึธืื ืืืื ืึท ืืขืฆืืึทื
ืคืจืืื ืื ืืืฆื ืืืฉืืจืื ืืขืื ืคืจืืึท ืึธืจืืึทื ืึทืืืืฉืึทื ื ืืื ืกืืึทืจืืึทืคึผืก ืืขืจ ืึธืคึผืฆืืขืก. ืขืก ืืื ืืขืืืขื ืึทื ืื ื ืืึทืข ืึทืคึผืจืึธืืืฉืื ืคืื OpenROAD ืฆื EDA ืืขืฆืืึทื ืึทื ืืืืืงืืื ื ืืื ืฉืคึผืึธื ืคึผืืึทื ืงืขื ืืืขืจื ืึทื ืื ืืึทืกืืจื ื ืึธืจืืึทื ืืื ืฆืืื.
ืืืึธืก ืืืจ ืฉืจืืึทืื ืืืขืื ืืื ืืื ืืืขืจ ืคึฟืืจืืข ืืืึธื:
ืื ืืึธืงืกืื ื: Cisco UCS B480 M5 Blade Server ืื ืืึธืงืกืื ื: ืึทืืข-ืคืืึทืฉ ืกืืึธืจืืืืฉ ืกืืกืืขื NetApp AFF A300 - ืึท ืงืืง ืคืื ืืื ืขืืืืื ืืง ืืืื-ืืขืืืืืงืืึทื ืกืขืจืืืขืจืก: ืึทื ืึทืืืกืืก ืคืื ืกืึทืืืฉืึทื ื Cisco UCS - ืื ืืึธืงืกืื ื
ืืงืืจ: www.habr.com