Ifọrọwanilẹnuwo: Ise agbese OpenROAD pinnu lati yanju iṣoro adaṣe ti apẹrẹ ero isise

Ifọrọwanilẹnuwo: Ise agbese OpenROAD pinnu lati yanju iṣoro adaṣe ti apẹrẹ ero isise
--Ото - Pexels - CC BY

Nipa fifun PWC, ọja imọ-ẹrọ semikondokito n dagba - ni ọdun to kọja o de $ 481 bilionu. Ṣugbọn awọn oniwe-idagbasoke oṣuwọn laipe dinku. Lara awọn idi fun idinku ni idiju ti awọn ilana apẹrẹ ẹrọ ati aini adaṣe.

Ni ọdun diẹ sẹhin, awọn onimọ-ẹrọ lati Intel kọwepe nigba ṣiṣẹda microprocessor iṣẹ-giga o ni lati lo awọn irinṣẹ sọfitiwia lọtọ 100-150 (ATI LATI). Ipo naa le buru si ni ọran ti awọn ẹrọ oriṣiriṣi, faaji eyiti o pẹlu ọpọlọpọ awọn oriṣi awọn eerun igi - ASIC, FPGA, Sipiyu tabi GPU. Bi abajade, awọn aṣiṣe apẹrẹ waye ti o ṣe idaduro idasilẹ awọn ọja.

Pelu nọmba nla ti awọn irinṣẹ iranlọwọ, awọn onimọ-ẹrọ tun fi agbara mu lati ṣe diẹ ninu awọn iṣẹ pẹlu ọwọ. Awọn onkọwe iwe naa "To ti ni ilọsiwaju kannaa Synthesis"Wọn sọ pe nigbakan awọn apẹẹrẹ ní láti kọ awọn iwe afọwọkọ ni Skill tabi Python ti awọn laini miliọnu meji lati ṣẹda awọn ile-ikawe pẹlu awọn sẹẹli.

Awọn iwe afọwọkọ tun jẹ kikọ lati ṣe itupalẹ awọn ijabọ ti ipilẹṣẹ nipasẹ awọn eto EDA. Nigbati o ba n dagbasoke ni ërún nipa lilo imọ-ẹrọ ilana 22nm, awọn ijabọ wọnyi le gba to awọn terabytes 30.

DARPA pinnu lati ṣatunṣe ipo naa ati gbiyanju lati ṣe iwọn awọn ilana apẹrẹ. Ni ile-iṣẹ naa paapaa rope awọn ọna ti o wa tẹlẹ fun ṣiṣẹda awọn eerun ti wa ni igba atijọ. Ajo se igbekale odun marun eto Ṣiṣii ROAD, eyi ti o ni ero lati ṣe agbekalẹ awọn irinṣẹ titun lati ṣe adaṣe awọn ilana apẹrẹ chirún.

Iru eto

Eto naa pẹlu awọn iṣẹ akanṣe pupọ ti o lo ẹkọ ẹrọ ati awọn imọ-ẹrọ awọsanma lati ṣe adaṣe awọn ipele kọọkan ti ẹda ërún. Gẹgẹbi apakan ti ipilẹṣẹ ti wa ni idagbasoke (aworan atọka 1) diẹ sii ju awọn ohun elo mẹwa. Nigbamii a yoo sọrọ ni alaye diẹ sii nipa diẹ ninu wọn: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Isare sisan jẹ irinṣẹ fun iṣakoso RTL ati GDSII ikawe. Awọn igbehin jẹ awọn faili data data ti o jẹ boṣewa ile-iṣẹ fun paṣipaarọ alaye nipa awọn iyika iṣọpọ ati awọn topologies wọn. Ojutu naa da lori imọ-ẹrọ eiyan Docker. O le ṣiṣe Runner Flow mejeeji ninu awọsanma ati ni agbegbe. Itọsọna fifi sori ẹrọ wa ni ibi ipamọ osise lori GitHub.

RePlAce jẹ ojutu awọsanma ti o da lori ikẹkọ ẹrọ, eyiti o jẹ iduro fun gbigbe awọn paati sori chirún ati adaṣe adaṣe. Nipasẹ diẹ ninu awọn data, awọn algoridimu ti o ni oye ṣe alekun ṣiṣe ti ọpa nipasẹ 2-10% ni akawe si awọn eto kilasika. Ni afikun, imuse ninu awọsanma jẹ ki irẹjẹ rọrun. Fifi sori ẹrọ ati itọsọna iṣeto ni tun wa ninu ibi ipamọ.

TritonCTS - IwUlO fun iṣapeye awọn iṣọn aago ti a pese si ërún. Ṣe iranlọwọ awọn ifihan agbara ipa ọna si gbogbo awọn ẹya ẹrọ pẹlu awọn idaduro kanna. Ilana iṣiṣẹ da lori H-igi. Ilana yii awọn ilọsiwaju ṣiṣe pinpin ifihan agbara nipasẹ 30% ni akawe si awọn ọna ibile. Awọn olupilẹṣẹ sọ pe ni ọjọ iwaju nọmba yii le pọ si 56%. TritonCTS koodu orisun ati awọn iwe afọwọkọ ti o wa lori GitHub.

ṢiiSTA - ẹrọ kan fun itupalẹ akoko aimi. O fun apẹẹrẹ ni aye lati ṣayẹwo iṣẹ ṣiṣe ti ërún ṣaaju ki o to pejọ. Koodu apẹẹrẹ ni OpenSTA dabi bi eleyi.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

IwUlO ṣe atilẹyin awọn apejuwe netiwọki ti koodu Verilog, awọn ile-ikawe kika ominira, awọn faili SDC, ati bẹbẹ lọ.

Awọn anfani ati alailanfani

Awọn amoye lati IBM ati IEEE ayeyepe awọn imọ-ẹrọ awọsanma ati ẹkọ ẹrọ ti pẹ fun lilo ninu iṣelọpọ ërún. Ninu ero wọn, iṣẹ DARPA le di apẹẹrẹ aṣeyọri ti imuse ti ero yii ati yoo fi ibẹrẹ ti awọn ayipada ninu awọn ile ise.

O tun nireti pe iseda ṣiṣi ti OpenROAD yoo ṣẹda agbegbe ti o lagbara ni ayika awọn irinṣẹ ati fa awọn ibẹrẹ tuntun.

Ifọrọwanilẹnuwo: Ise agbese OpenROAD pinnu lati yanju iṣoro adaṣe ti apẹrẹ ero isise
--Ото - Pexels - CC BY

Awọn olukopa ti wa tẹlẹ - yàrá idagbasoke awọn eerun ti o da ni University of Michigan, yoo jẹ akọkọ, tani yoo ṣe idanwo OpenROAD awọn irinṣẹ orisun ṣiṣi. Ṣugbọn a ko ti mọ boya awọn solusan tuntun yoo ni anfani lati ni ipa akiyesi lori idiyele awọn ọja ikẹhin.

Lapapọ, awọn irinṣẹ ti o dagbasoke labẹ idari DARPA ni a nireti lati ni ipa rere lori ile-iṣẹ iṣelọpọ, ati pe awọn iṣẹ akanṣe tuntun diẹ sii yoo bẹrẹ lati farahan ni agbegbe yii. Apeere yoo jẹ ọpa kan GEDA - o gba ọ laaye lati ṣe apẹrẹ awọn eerun pẹlu nọmba ailopin ti awọn paati. gEDA pẹlu awọn ohun elo fun ṣiṣatunṣe ati awoṣe microcircuits ati ipa ọna igbimọ. Ojutu naa ni idagbasoke fun awọn iru ẹrọ UNIX, ṣugbọn nọmba awọn paati rẹ tun ṣiṣẹ labẹ Windows. Itọsọna kan si ṣiṣẹ pẹlu wọn le ṣee ri ninu awọn iwe lori aaye ayelujara ise agbese.

Awọn irinṣẹ ti o wa larọwọto fun awọn ẹgbẹ ominira ati awọn ibẹrẹ awọn aṣayan diẹ sii. O ṣee ṣe pe ni akoko pupọ, awọn ọna tuntun ti OpenROAD si idagbasoke irinṣẹ EDA ati apẹrẹ chirún le di boṣewa ile-iṣẹ kan.

Ohun ti a kọ nipa ninu bulọọgi ajọ wa:

orisun: www.habr.com

Fi ọrọìwòye kun