Google ti pese aye fun iṣelọpọ ọfẹ ti awọn ipele idanwo ti awọn eerun ṣiṣi

Google, ni ifowosowopo pẹlu awọn ile-iṣẹ iṣelọpọ SkyWater Technology ati Efables, ti ṣe ifilọlẹ ipilẹṣẹ kan ti o fun laaye awọn olupilẹṣẹ ohun elo ṣiṣi lati ṣe awọn eerun ti wọn dagbasoke ni ọfẹ. Ipilẹṣẹ naa ni ero lati ṣe alekun idagbasoke ti ohun elo ṣiṣi, dinku awọn idiyele ti idagbasoke awọn iṣẹ akanṣe ati irọrun ibaraenisepo pẹlu awọn ohun elo iṣelọpọ. Ṣeun si ipilẹṣẹ, ẹnikẹni le bẹrẹ idagbasoke awọn eerun aṣa tiwọn laisi iberu awọn idiyele giga ti iṣelọpọ awọn apẹrẹ akọkọ. Gbogbo iṣelọpọ, iṣakojọpọ ati awọn idiyele gbigbe ni Google bo.

Awọn ohun elo fun ifisi ninu eto iṣelọpọ ọfẹ ni a le fi silẹ lẹẹkan ni gbogbo oṣu meji. Iho ti o sunmọ julọ yoo wa ni pipade ni Oṣu Karun ọjọ 8, ati awọn eerun ti o ṣakoso lati wọ inu rẹ yoo ṣetan ni Oṣu Kẹjọ Ọjọ 30 ati firanṣẹ si awọn onkọwe ni Oṣu Kẹwa Ọjọ 18. Lati awọn ohun elo ti a fi silẹ, awọn iṣẹ akanṣe 40 ni a yan (ti awọn ohun elo ti a fi silẹ ba kere ju 40, lẹhinna gbogbo awọn ti o ti kọja ayẹwo atunṣe ni ao fi sinu iṣelọpọ). Da lori awọn abajade iṣelọpọ, olupilẹṣẹ yoo gba awọn eerun 50 ati awọn igbimọ 5 pẹlu awọn eerun ti a fi sori ẹrọ.

Awọn ohun elo jẹ gbigba nikan lati awọn iṣẹ akanṣe pinpin ni kikun labẹ awọn iwe-aṣẹ ṣiṣi, kii ṣe nipasẹ awọn adehun ti kii ṣe ifihan (NDAs) ati pe ko ni opin iwọn lilo awọn ọja wọn. Awọn data fun iṣelọpọ gbọdọ wa ni gbigbe ni ọna kika GDSII, kọja eto idanwo ti a pese ati tun ṣe lati awọn faili apẹrẹ orisun (ie, fi iṣẹ akanṣe orisun ṣiṣi silẹ, ṣugbọn iwọ kii yoo ni anfani lati fi apẹrẹ ohun-ini silẹ fun iṣelọpọ).

Lati ṣe irọrun idagbasoke chirún ṣiṣi, awọn irinṣẹ orisun ṣiṣi atẹle wọnyi wa:

  • SkyWater PDK (Apoti Apẹrẹ Ilana), ohun elo irinṣẹ ti o ṣe apejuwe ilana imọ-ẹrọ 130nm (SKY130) ti a lo ni SkyWater ọgbin ati pe o fun ọ laaye lati ṣeto awọn faili apẹrẹ ti o ṣe pataki fun iṣelọpọ microcircuits.
  • OpenLane jẹ akojọpọ awọn paati fun iyipada adaṣe adaṣe ti apẹrẹ iyika RTL ti awọn eerun kan pato ohun elo (ASICs) sinu ọna kika GDSII ti a lo ninu awọn ile-iṣẹ chirún.
    Google ti pese aye fun iṣelọpọ ọfẹ ti awọn ipele idanwo ti awọn eerun ṣiṣi
  • XLS (Accelerated HW Synthesis) jẹ ohun elo irinṣẹ fun sisọpọ awọn faili apẹrẹ pẹlu ohun elo chirún ti o baamu si apejuwe ipele giga ti a pese ti iṣẹ ṣiṣe ti o nilo, ti a ṣe ni ara ti idagbasoke sọfitiwia.
  • Eto awọn ofin fun eto apejọ Bazel pẹlu atilẹyin fun awọn irinṣẹ ṣiṣi (Yosys, Verilator, OpenROAD) fun ṣiṣẹ pẹlu awọn ede apejuwe ohun elo (Verilog, VHDL, Chisel, nMigen).
  • OpenROAD jẹ ilana fun adaṣe adaṣe ilana idagbasoke ti awọn microcircuits orisun ṣiṣi.
  • Verible jẹ ṣeto awọn irinṣẹ fun idagbasoke ni ede Verilog, pẹlu parser, eto ọna kika ara ati linter.

orisun: opennet.ru

Fi ọrọìwòye kun