Ẹ̀ka: ayelujara iroyin

Ẹrọ SLIM Japanese wa si igbesi aye lẹẹkansi o fi fọto ranṣẹ lati Oṣupa - awọn onimọ-ẹrọ ko loye bi o ṣe ṣe

Smart Lander Japanese fun Oṣupa Iwadii (SLIM) ṣakoso lati ye ni alẹ oṣupa kẹta ati, lẹhin ipari rẹ, tun kan si ni Oṣu Kẹrin Ọjọ 23. Aṣeyọri yii jẹ iyalẹnu nitori ẹrọ naa ko ṣe ni akọkọ lati koju awọn ipo lile ni alẹ oṣupa kan, nigbati awọn iwọn otutu ibaramu ṣubu si -170 C°. Orisun aworan: JAXA Orisun: 3dnews.ru

Huawei ṣafihan ami iyasọtọ Qiankun fun awọn ọna ṣiṣe awakọ oye

Ile-iṣẹ imọ-ẹrọ Kannada Huawei ti ṣe igbesẹ miiran si di oṣere pataki ni ile-iṣẹ ọkọ ayọkẹlẹ ina mọnamọna pẹlu iṣafihan ami iyasọtọ tuntun kan ti a pe ni Qiankun, labẹ eyiti yoo ṣe agbejade sọfitiwia fun awakọ oye. Orukọ ami iyasọtọ tuntun darapọ awọn aworan ti ọrun ati Awọn oke Kunlun ti Ilu China - ile-iṣẹ naa yoo ta awọn eto autopilot, bii ohun ati awọn idari ijoko awakọ, […]

Gbe wọle ti awọn olupin ati awọn ọna ipamọ si Russia ni ọdun 2023 pọ si nipasẹ 10-15%

Ni ọdun 2023, o to 126 ẹgbẹrun awọn olupin ti a gbe wọle si Russia, eyiti o jẹ 10-15% diẹ sii ju ọdun ti tẹlẹ lọ. Nitorinaa, gẹgẹbi awọn ijabọ iwe iroyin Kommersant, n tọka awọn iṣiro lati Ile-iṣẹ kọsitọmu Federal (FCS), awọn rira ohun elo lati odi ni apakan yii ti pada si isunmọ ipele ti a ṣe akiyesi ni 2021. Ni pato, bi a ti ṣe akiyesi, ni [...]

AMD: Chiplet Architecture ni Awọn ilana EPYC Ṣe iranlọwọ Din Awọn itujade Gaasi Eefin eefin

Justin Murrill, oludari AMD ti ojuse ile-iṣẹ, sọ pe ipinnu ile-iṣẹ lati lo faaji chiplet ni awọn ilana EPYC ti dinku awọn itujade eefin eefin agbaye nipasẹ ẹgbẹẹgbẹrun awọn toonu fun ọdun kan. AMD bẹrẹ ni lenu wo chiplets nipa meje odun seyin. Awọn lilo ti olona-ërún faaji dipo ti monolithic awọn ọja pese awọn nọmba kan ti awọn anfani. Ni pataki, irọrun nla ni aṣeyọri ninu apẹrẹ […]

Xfce gbe lati IRC si Matrix

Lẹhin akoko idanwo oṣu 6, awọn ibaraẹnisọrọ iṣẹ akanṣe Xfce osise n gbe lati IRC si Matrix. Awọn ikanni IRC atijọ yoo wa ni sisi fun bayi, ṣugbọn awọn ikanni Matrix jẹ osise ni bayi. Iyipada naa kan awọn ikanni wọnyi: #xfce lori libera.chat → #xfce: matrix.org #xfce-dev lori libera.chat → #xfce-dev: matrix.org - ijiroro idagbasoke #xfce-commits lori libera.chat → # xfce- ṣe: matrix.org – iṣẹ GitLab olokiki Ni iṣaaju, ọpọlọpọ awọn olukopa IRC […]

Tesla robotaxi yoo pe ni Cybercab

Gẹgẹbi aṣa atọwọdọwọ Gẹẹsi atijọ, awọn takisi ni AMẸRIKA ati awọn orilẹ-ede Gẹẹsi miiran ni a maa n pe ni “cabs” (lati inu ọkọ ayọkẹlẹ Gẹẹsi), nitorinaa Elon Musk ko ṣe idiju iṣẹ-ṣiṣe ti sisọ orukọ takisi roboti Tesla iwaju, ati ni idamẹrin. apejọ o sọ pe yoo pe ni "Cybercab". Orisun aworan: TeslaSource: 3dnews.ru

SK Hynix yoo kọ ọgbin semikondokito tuntun fun $ 4 bilionu fun Nvidia ki o ni awọn eerun HBM ti o to.

Ọkan ninu awọn olupilẹṣẹ ti o tobi julọ ni agbaye ti awọn eerun iranti, ile-iṣẹ South Korea SK Hynix kede ni Ọjọ PANA awọn ero lati ṣe idoko-owo 5,3 aimọye gba (nipa $ 3,86 bilionu) ni ikole ọgbin kan fun iṣelọpọ iranti DRAM ni South Korea, kọwe Reuters. Ile-iṣẹ naa ṣe akiyesi pe ile-iṣẹ iṣelọpọ tuntun yoo ni idojukọ akọkọ lori iṣelọpọ ti awọn eerun iranti kilasi HBM. Orisun aworan: […]

Awọn ile-iṣẹ data Apple jẹ diẹ sii ju 2023 TWh ti ina ni ọdun 2,3

Lati fi agbara fun awọn ile-iṣẹ data rẹ ati awọn ohun elo awọ, Apple lo 2023 TWh ti ina ni 2,344. Datacenter Dynamics ṣe ijabọ pe ile-iṣẹ naa ni meje ti awọn ile-iṣẹ data tirẹ, bakanna bi nọmba aimọ ti awọn aaye ibi-iṣọ ni ayika agbaye, agbara agbara ti awọn mejeeji jẹ aiṣedeede 100% nipasẹ rira awọn iwe-ẹri PPA. Ninu Ijabọ Ilọsiwaju Ayika, ile-iṣẹ sọ pe Mesa, ohun elo Arizona jẹ eyiti o tobi julọ […]

pluto 0.9.2

Itusilẹ atunṣe ti wa 0.9.2 ti olutumọ console ati ile-ikawe ifibọ ti ede Pluto - imuse yiyan ti ede Lua 5.4 pẹlu ọpọlọpọ awọn ayipada ati awọn ilọsiwaju ninu sintasi, ile-ikawe boṣewa ati onitumọ. Awọn olukopa iṣẹ akanṣe tun n ṣe idagbasoke ile-ikawe Ọbẹ. Awọn iṣẹ akanṣe naa ni a kọ sinu C ++ ati pinpin labẹ iwe-aṣẹ MIT. Akojọ awọn iyipada: aṣiṣe akopo ti o wa titi lori aarch64 faaji; Awọn ipe ọna ti o wa titi […]

RT-Thread 5.1 ẹrọ ṣiṣe akoko gidi ti a tẹjade

Lẹhin ọdun kan ti idagbasoke, RT-Thread 5.1, ẹrọ ṣiṣe akoko gidi (RTOS) fun Intanẹẹti ti awọn ẹrọ Ohun, wa bayi. Eto naa ti ni idagbasoke lati ọdun 2006 nipasẹ agbegbe ti awọn olupilẹṣẹ Ilu Kannada ati pe o ti gbejade lọwọlọwọ si awọn igbimọ 154, awọn eerun ati awọn oludari microcontrollers ti o da lori x86, ARM, MIPS, C-SKY, Xtensa, ARC ati awọn faaji RISC-V. Itumọ RT-Thread minimalistic (Nano) nilo 3 KB nikan […]

Itusilẹ ti ohun elo fun ailorukọ awọn data data nxs-data-anonymizer 1.4.0

nxs-data-anonymizer 1.4.0 ti ṣe atẹjade - irinṣẹ kan fun ailorukọ PostgreSQL ati MySQL/MariaDB/Percona idalenu data data. IwUlO n ṣe atilẹyin ailorukọ data da lori awọn awoṣe ati awọn iṣẹ ti ile-ikawe Sprig. Lara awọn ohun miiran, o le lo awọn iye ti awọn ọwọn miiran fun ila kanna lati kun. O ṣee ṣe lati lo ọpa nipasẹ awọn paipu ti a ko darukọ lori laini aṣẹ ati ṣe atunṣe idalẹnu lati ibi ipamọ data orisun taara si […]