Itusilẹ ekuro Linux 5.15

Lẹhin oṣu meji ti idagbasoke, Linus Torvalds ṣafihan itusilẹ ti ekuro Linux 5.15. Awọn ayipada ti o ṣe akiyesi pẹlu: awakọ NTFS tuntun pẹlu atilẹyin kikọ, module ksmbd pẹlu imuse olupin SMB, eto ipilẹ DAMON fun ibojuwo iwọle iranti, awọn alakoko titiipa akoko gidi, atilẹyin fs-verity ni Btrfs, ilana_mrelease eto ipe fun iranti awọn ọna ṣiṣe esi ebi, module ijẹrisi latọna jijin dm-ima.

Ẹya tuntun pẹlu awọn atunṣe 13499 lati awọn olupilẹṣẹ 1888, iwọn alemo jẹ 42 MB (awọn iyipada ti o kan awọn faili 10895, awọn ila koodu 632522 ti ṣafikun, awọn laini 299966 paarẹ). O fẹrẹ to 45% ti gbogbo awọn ayipada ti a ṣafihan ni 5.15 ni ibatan si awọn awakọ ẹrọ, isunmọ 14% ti awọn ayipada ni ibatan si imudojuiwọn koodu kan pato si awọn faaji ohun elo, 14% ni ibatan si akopọ Nẹtiwọọki, 6% ni ibatan si awọn eto faili, ati 3% jẹ ibatan si awọn eto inu ekuro inu.

Awọn imotuntun akọkọ:

  • Disk Subsystem, I/O ati File Systems
    • Ekuro ti gba imuse tuntun ti eto faili NTFS, ṣiṣi nipasẹ Paragon Software. Awakọ tuntun le ṣiṣẹ ni ipo kikọ ati ṣe atilẹyin gbogbo awọn ẹya ti ẹya lọwọlọwọ ti NTFS 3.1, pẹlu awọn abuda faili ti o gbooro sii, awọn atokọ iwọle (ACLs), ipo funmorawon data, iṣẹ ti o munadoko pẹlu awọn aaye ofo ni awọn faili (fififo) ati awọn iyipada atunṣe lati log lati mu iduroṣinṣin pada lẹhin awọn ikuna.
    • Eto faili Btrfs ṣe atilẹyin ẹrọ fs-verity, eyiti o lo lati ṣakoso ni gbangba ati otitọ ti awọn faili kọọkan nipa lilo awọn hashes cryptographic tabi awọn bọtini ti o ni nkan ṣe pẹlu awọn faili, ti o fipamọ sinu agbegbe metadata. Ni iṣaaju, fs-verity wa fun awọn ọna ṣiṣe faili Ext4 ati F2fs nikan.

      Btrfs tun ṣe afikun atilẹyin fun ṣiṣe aworan awọn ID olumulo fun awọn ọna ṣiṣe faili ti a gbe sori (atilẹyin tẹlẹ fun FAT, ext4 ati awọn ọna faili XFS). Ẹya yii n gba ọ laaye lati ṣe afiwe awọn faili ti olumulo kan pato lori ipin ajeji ti a gbe soke pẹlu olumulo miiran lori eto lọwọlọwọ.

      Awọn iyipada miiran si Btrfs pẹlu: afikun iyara ti awọn bọtini si atọka itọsọna lati mu ilọsiwaju iṣẹda faili dara; agbara lati ṣiṣẹ raid0 pẹlu ẹrọ kan, ati raid10 pẹlu meji (fun apẹẹrẹ, lakoko ilana ti atunto titobi); aṣayan “igbala=ibadroots” lati foju foju igi iwọn ti ko tọ; isare ti iṣẹ “firanṣẹ”; idinku awọn ija titiipa lakoko awọn iṣẹ lorukọmii; agbara lati lo awọn apa 4K lori awọn ọna ṣiṣe pẹlu iwọn oju-iwe iranti 64K.

    • Ni XFS, agbara lati lo awọn ọjọ lẹhin 2038 ninu eto faili ti jẹ imuduro. Ti ṣe ilana kan fun idaduro inode idaduro ati atilẹyin fun fifi sori idaduro ati yiyọ awọn abuda faili kuro. Lati yọkuro awọn iṣoro, agbara lati mu awọn ipin disiki kuro fun awọn ipin ti o ti gbe tẹlẹ ti yọkuro (o le fi agbara mu awọn ipin kuro, ṣugbọn iṣiro ti o ni nkan ṣe pẹlu wọn yoo tẹsiwaju, nitorinaa atunṣe nilo lati mu wọn ṣiṣẹ ni kikun).
    • Ni EXT4, a ti ṣe iṣẹ lati mu iṣẹ kikọ delloc buffers pọ si ati ṣiṣe awọn faili orukan ti o tẹsiwaju lati wa nitori otitọ pe wọn wa ni ṣiṣi, ṣugbọn ko ni nkan ṣe pẹlu itọsọna kan. Ṣiṣẹda awọn iṣẹ sisọnu ni a ti gbe jade kuro ninu okun jbd2 kthread lati yago fun idinamọ awọn iṣẹ pẹlu metadata.
    • F2FS ṣafikun “discard_unit=block|apa|apakan”aṣayan lati so awọn iṣẹ ṣiṣe sisọnu (siṣamisi awọn bulọọki ominira ti o le ma wa ni ipamọ ti ara mọ) si titete ni ibatan si bulọki, eka, apakan tabi apakan. Atilẹyin ti a ṣafikun fun awọn iyipada ipasẹ ni airi I/O.
    • Eto faili EROFS (Extendable Read-Nikan Faili) ṣe afikun atilẹyin I/O taara fun awọn faili ti o fipamọ laisi titẹkuro, bakanna bi atilẹyin fiemap.
    • OverlayFS ṣe imuṣiṣẹ deede ti “aileyipada”, “append-nikan”, “amuṣiṣẹpọ” ati “noatime” awọn asia oke.
    • NFS ti mu ilọsiwaju dara si awọn ipo nibiti olupin NFS duro dahun si awọn ibeere. Ṣe afikun agbara lati gbe lati olupin ti o ti wa ni lilo tẹlẹ, ṣugbọn wiwọle nipasẹ adirẹsi nẹtiwọọki ti o yatọ.
    • Awọn igbaradi ti bẹrẹ fun atunkọ FSCACHE subsystem.
    • Atilẹyin ti a ṣafikun fun awọn ipin EFI pẹlu gbigbe ti kii ṣe boṣewa ti awọn tabili GPT.
    • Ẹrọ fanotify n ṣe asia tuntun kan, FAN_REPORT_PIDFD, eyiti o fa ki pidfd wa ninu awọn metadata ti o pada. Pidfd ṣe iranlọwọ lati mu awọn ipo atunlo PID lati ṣe idanimọ deede diẹ sii awọn ilana ti o wọle si awọn faili abojuto (pidfd kan ni nkan ṣe pẹlu ilana kan pato ati pe ko yipada, lakoko ti PID le ni nkan ṣe pẹlu ilana miiran lẹhin ilana lọwọlọwọ ti o ni nkan ṣe pẹlu PID yẹn pari).
    • Ṣe afikun agbara lati ṣafikun awọn aaye oke si awọn ẹgbẹ ti o pin tẹlẹ si ipe eto gbigbe_mount (), eyiti o yanju awọn iṣoro pẹlu fifipamọ ati mimu-pada sipo ilana ilana ni CRIU nigbati awọn aaye oke nla ti pin ni awọn apoti ti o ya sọtọ.
    • Idaabobo ti a ṣafikun si awọn ipo ere-ije ti o farapamọ ti o le fa ibajẹ faili nigba ṣiṣe kika kaṣe lakoko ṣiṣiṣẹ awọn ofo ninu faili kan.
    • Atilẹyin fun titiipa faili dandan (dandan), ti a ṣe nipasẹ didi awọn ipe eto ti o yori si iyipada faili, ti dawọ duro. Nitori awọn ipo ere-ije ti o ṣeeṣe, awọn titiipa wọnyi ni a ka pe ko ni igbẹkẹle ati pe wọn ti parẹ ni ọdun pupọ sẹhin.
    • Eto abẹlẹ LightNVM ti yọkuro, eyiti o fun laaye ni iraye si taara si wara SSD, ni ikọja Layer emulation. LightNVM padanu itumo rẹ lẹhin dide ti awọn ajohunše NVMe ti o pese fun ifiyapa (ZNS, Zoned Namespace).
  • Iranti ati awọn iṣẹ eto
    • DAMON (Data Access MONitor) subsystem ti ni imuse, gbigba ọ laaye lati ṣe atẹle iṣẹ ṣiṣe ti o ni ibatan si iraye si data ni Ramu ni ibatan si ilana ti o yan ti nṣiṣẹ ni aaye olumulo. Eto abẹlẹ n gba ọ laaye lati ṣe itupalẹ iru awọn agbegbe iranti ti ilana ti o wọle lakoko gbogbo iṣẹ rẹ, ati iru awọn agbegbe iranti ti ko ni ẹtọ. DAMON ni ẹru Sipiyu kekere, agbara iranti kekere, iṣedede giga ati agbekọja igbagbogbo asọtẹlẹ, ominira ti iwọn. Eto inu-ara le ṣee lo mejeeji nipasẹ ekuro lati mu iṣakoso iranti pọ si, ati nipasẹ awọn ohun elo ni aaye olumulo lati loye kini ilana gangan kan n ṣe ati mu lilo iranti pọ si, fun apẹẹrẹ, idasilẹ iranti apọju fun eto naa.
    • Ipe eto ilana_mrelease ti ṣe imuse lati mu ilana ti itusilẹ iranti ti ilana ti o pari ipaniyan rẹ pọ si. Labẹ awọn ipo deede, itusilẹ awọn orisun ati ifopinsi ilana kii ṣe lẹsẹkẹsẹ ati pe o le ṣe idaduro fun awọn idi pupọ, kikọlu pẹlu iranti aaye olumulo ni awọn eto idahun ni kutukutu gẹgẹbi oomd (ti a pese nipasẹ systemd) ati lmkd (ti Android lo). Nipa pipe process_mrelease, iru awọn ọna šiše le siwaju sii asọtẹlẹ ma nfa awọn reclaiming ti iranti lati fi agbara mu lakọkọ.
    • Lati ẹka ekuro PREEMPT_RT, eyiti o ṣe agbekalẹ atilẹyin fun iṣẹ-ṣiṣe gidi-akoko, awọn iyatọ ti alakoko fun siseto awọn titiipa mutex, ww_mutex, rw_semaphore, spinlock ati rwlock, ti ​​o da lori eto abẹlẹ RT-Mutex, ti gbe. Awọn ayipada ti wa ni afikun si SLUB slab allocator lati mu ilọsiwaju ṣiṣẹ ni ipo PREEMPT_RT ati dinku ipa lori awọn idilọwọ.
    • Atilẹyin fun abuda oluṣeto iṣẹ SCHED_IDLE ti ni afikun si akojọpọ, gbigba ọ laaye lati pese ẹda yii si gbogbo awọn ilana ti ẹgbẹ kan ti o wa ninu akojọpọ kan pato. Awon. awọn ilana wọnyi yoo ṣiṣẹ nikan nigbati ko si awọn iṣẹ-ṣiṣe miiran ti nduro lati ṣiṣẹ lori eto naa. Ko dabi ṣiṣeto ẹda SCHED_IDLE si ilana kọọkan ni ẹyọkan, nigbati o ba di SCHED_IDLE si akojọpọ kan, iwuwo ibatan ti awọn iṣẹ ṣiṣe laarin ẹgbẹ ni a ṣe akiyesi nigbati o yan iṣẹ-ṣiṣe kan lati ṣiṣẹ.
    • Ẹrọ fun ṣiṣe iṣiro fun lilo iranti ni ẹgbẹpọ ti pọ si pẹlu agbara lati tọpa awọn ẹya data ekuro afikun, pẹlu awọn ti a ṣẹda fun idibo, sisẹ ifihan ati awọn aaye orukọ.
    • Atilẹyin ti a ṣafikun fun ṣiṣe eto aibaramu ti abuda iṣẹ-ṣiṣe si awọn ohun kohun ero isise lori awọn ayaworan ninu eyiti diẹ ninu awọn CPUs gba laaye ipaniyan awọn iṣẹ ṣiṣe 32-bit, ati diẹ ninu ṣiṣẹ nikan ni ipo 64-bit (fun apẹẹrẹ, ARM). Ipo tuntun n gba ọ laaye lati gbero awọn Sipiyu nikan ti o ṣe atilẹyin awọn iṣẹ-ṣiṣe 32-bit nigbati ṣiṣe eto awọn iṣẹ-ṣiṣe 32-bit.
    • Ni wiwo io_uring asynchronous I/O ni bayi ṣe atilẹyin ṣiṣi awọn faili taara ni tabili atọka faili ti o wa titi, laisi lilo oluṣapejuwe faili kan, eyiti o jẹ ki o ṣee ṣe lati yara diẹ ninu awọn iru awọn iṣẹ ṣiṣe ni pataki, ṣugbọn o lodi si ilana Unix ibile ti lilo awọn apejuwe faili. lati ṣii awọn faili.

      io_uring fun BIO (Block I/O Layer) subsystem n ṣe ilana atunlo tuntun kan (“Atunlo BIO”), eyiti o dinku oke ni ilana iṣakoso iranti inu ati mu nọmba awọn iṣẹ I/O ti a ti ni ilọsiwaju pọ si ni isunmọ 10% . io_uring tun ṣe afikun support fun mkdirat (), symlinkat () ati linkat () awọn ipe eto.

    • Fun awọn eto BPF, agbara lati beere ati ilana awọn iṣẹlẹ aago ti ni imuse. Ohun iterator fun UNIX sockets ti a ti fi kun, ati awọn agbara lati gba ati ki o ṣeto iho awọn aṣayan fun setsockopt ti a ti muse. BTF dumper bayi ṣe atilẹyin data ti a tẹ.
    • Lori awọn ọna ṣiṣe NUMA pẹlu awọn oriṣiriṣi oriṣi ti iranti ti o yatọ si iṣẹ, nigbati aaye ọfẹ ba ti pari, awọn oju-iwe iranti ti a yọ kuro ni a gbejade lati iranti ti o ni agbara (DRAM) si iranti ti o lọra titilai (Memory Persistent) dipo piparẹ awọn oju-iwe wọnyi. Awọn idanwo ti fihan pe iru awọn ilana maa n mu ilọsiwaju ṣiṣẹ lori iru awọn ọna ṣiṣe. NUMA tun pese agbara lati pin awọn oju-iwe iranti fun ilana kan lati awọn apa NUMA ti o yan.
    • Fun faaji ARC, atilẹyin fun awọn tabili oju-iwe iranti ipele mẹta- ati mẹrin ti ni imuse, eyiti yoo jẹki atilẹyin siwaju fun awọn ilana ARC 64-bit.
    • Fun faaji s390, agbara lati lo ẹrọ KFENCE lati ṣawari awọn aṣiṣe nigbati o ba n ṣiṣẹ pẹlu iranti ti ni imuse, ati atilẹyin fun aṣawari ipo ije KCSAN ti ṣafikun.
    • Atilẹyin ti a ṣafikun fun titọka atokọ ti awọn ifilọlẹ awọn ifiranṣẹ nipasẹ titẹ (), gbigba ọ laaye lati gba gbogbo iru awọn ifiranṣẹ ni ẹẹkan ati tọpa awọn ayipada ni aaye olumulo.
    • mmap () ti yọ atilẹyin kuro fun aṣayan VM_DENYWRITE, ati pe a ti yọ koodu ekuro kuro ni lilo ipo MAP_DENYWRITE, eyiti o dinku nọmba awọn ipo ti o yorisi idinamọ awọn kikọ si faili pẹlu aṣiṣe ETXTBSY.
    • Iru awọn sọwedowo tuntun kan, “Awọn iwadii iṣẹlẹ,” ni a ti ṣafikun si eto abẹpa wiwa, eyiti o le so mọ awọn iṣẹlẹ wiwa wa tẹlẹ, ti n ṣalaye ọna kika iṣelọpọ tirẹ.
    • Nigbati o ba n kọ ekuro nipa lilo akopọ Clang, apejọ aiyipada lati iṣẹ akanṣe LLVM ti lo ni bayi.
    • Gẹgẹbi apakan ti iṣẹ akanṣe lati yọ kernel ti koodu kuro ti o yori si awọn ikilọ ti o jade nipasẹ alakojo, idanwo kan ni a ṣe pẹlu ipo “-Werror” ti o ṣiṣẹ nipasẹ aiyipada, ninu eyiti awọn ikilọ alakojo ti ni ilọsiwaju bi awọn aṣiṣe. Ni igbaradi fun itusilẹ 5.15, Linus bẹrẹ lati gba awọn ayipada nikan ti ko ja si awọn ikilọ nigbati o ba kọ ekuro ati ṣiṣe ile pẹlu “-Werror”, ṣugbọn lẹhinna gba pe iru ipinnu bẹ ti tọjọ ati idaduro lati mu “-Werror” ṣiṣẹ nipasẹ aiyipada. . Ifisi asia “-Werror” lakoko apejọ jẹ iṣakoso ni lilo paramita WEROR, eyiti o ṣeto si COMPILE_TEST nipasẹ aiyipada, ie. Fun bayi o ti ṣiṣẹ nikan fun awọn kikọ idanwo.
  • Foju ati Aabo
    • A ti ṣafikun olutọju dm-ima tuntun si Mapper Device (DM) pẹlu imuse ti ẹrọ ijẹrisi latọna jijin ti o da lori IMA (Integrity Measurement Architecture) subsystem, eyiti o fun laaye iṣẹ ita lati rii daju ipo ti awọn eto inu ekuro lati rii daju pe ododo wọn jẹ otitọ. . Ni iṣe, dm-ima ngbanilaaye lati ṣẹda awọn ibi ipamọ nipa lilo Mapper Device ti o ni asopọ si awọn eto awọsanma ita, ninu eyiti a ṣayẹwo iṣedede ti iṣeto ibi-afẹde DM ti a ṣe ifilọlẹ ni lilo IMA.
    • prctl () n ṣe aṣayan tuntun PR_SPEC_L1D_FLUSH, eyiti nigbati o ba ṣiṣẹ, yoo fa ekuro lati fọ awọn akoonu inu kaṣe ipele akọkọ (L1D) ni gbogbo igba ti iyipada ipo ba waye. Ipo yii ngbanilaaye, yiyan fun awọn ilana pataki julọ, lati ṣe aabo ni afikun si lilo awọn ikọlu ikanni ẹgbẹ ti a ṣe lati pinnu data ti o yanju ninu kaṣe nitori abajade awọn ailagbara ti o ṣẹlẹ nipasẹ ipaniyan akiyesi ti awọn ilana ni Sipiyu. Iye owo ti mimu PR_SPEC_L1D_FLUSH (ko ṣiṣẹ nipasẹ aiyipada) jẹ ijiya iṣẹ ṣiṣe pataki.
    • O ṣee ṣe lati kọ ekuro pẹlu afikun ti asia “-fzero-call-used-regs=used-gpr” si GCC, eyiti o rii daju pe gbogbo awọn iforukọsilẹ ti tunto si odo ṣaaju ki o to pada iṣakoso lati iṣẹ naa. Aṣayan yii n gba ọ laaye lati daabobo lodi si jijo alaye lati awọn iṣẹ ati dinku nipasẹ 20% nọmba awọn bulọọki ti o dara fun kikọ awọn ohun elo ROP (Eto Ipadabọ-pada) ni awọn ilokulo.
    • Agbara lati kọ awọn kernels fun faaji ARM64 ni irisi awọn alabara fun hypervisor Hyper-V ti ni imuse.
    • Ilana idagbasoke awakọ tuntun “VDUSE” ni a dabaa, eyiti ngbanilaaye imuse awọn ẹrọ bulọọki foju ni aaye olumulo ati lilo Virtio bi gbigbe fun iwọle lati awọn eto alejo.
    • Fi kun Virtio iwakọ fun I2C akero, ṣiṣe awọn ti o ṣee ṣe lati emulate I2C olutona ni paravirtualization mode lilo lọtọ backends.
    • Fikun Virtio awakọ gpio-virtio lati gba awọn alejo laaye lati wọle si awọn laini GPIO ti a pese nipasẹ eto agbalejo.
    • Ṣe afikun agbara lati ni ihamọ iwọle si awọn oju-iwe iranti fun awọn awakọ ẹrọ pẹlu atilẹyin DMA lori awọn eto laisi I/O MMU (ẹka iṣakoso-iranti).
    • KVM hypervisor ni agbara lati ṣe afihan awọn iṣiro ni irisi laini ati awọn itan-akọọlẹ logarithmic.
  • Nẹtiwọọki subsystem
    • A ti ṣafikun module ksmbd si ekuro pẹlu imuse olupin faili kan nipa lilo ilana SMB3. Module naa ṣe afikun imuse alabara SMB ti o wa tẹlẹ ninu ekuro ati, ko dabi olupin SMB ti n ṣiṣẹ ni aaye olumulo, jẹ daradara siwaju sii ni awọn iṣe ti iṣẹ ṣiṣe, agbara iranti ati isọpọ pẹlu awọn agbara ekuro to ti ni ilọsiwaju. Ksmbd jẹ iṣẹ ṣiṣe giga, ifibọ-ṣetan itẹsiwaju Samba ti o ṣepọ pẹlu awọn irinṣẹ Samba ati awọn ile-ikawe bi o ṣe nilo. Awọn agbara ksmbd pẹlu atilẹyin ilọsiwaju fun imọ-ẹrọ caching faili pinpin (awọn iyalo SMB) lori awọn eto agbegbe, eyiti o le dinku ijabọ ni pataki. Ni ọjọ iwaju, wọn gbero lati ṣafikun atilẹyin fun RDMA (“smbdirect”) ati awọn amugbooro ilana ti o ni ibatan si jijẹ igbẹkẹle ti fifi ẹnọ kọ nkan ati ijẹrisi nipa lilo awọn ibuwọlu oni-nọmba.
    • Onibara CIFS ko ṣe atilẹyin NTLM mọ ati awọn algoridimu ti o da lori ipilẹ DES alailagbara ti a lo ninu ilana SMB1.
    • Atilẹyin Multicast jẹ imuse ni imuse ti awọn afara nẹtiwọọki fun awọn vlans.
    • Awakọ imora, ti a lo lati ṣajọpọ awọn atọkun nẹtiwọọki, ti ṣafikun atilẹyin fun XDP (eXpress Data Path) subsystem, eyiti o fun ọ laaye lati ṣe afọwọyi awọn apo-iwe nẹtiwọọki ni ipele ṣaaju ṣiṣe wọn nipasẹ akopọ nẹtiwọọki ekuro Linux.
    • Akopọ alailowaya mac80211 ṣe atilẹyin 6GHZ STA (Aṣẹ Igbala Pataki) ni LPI, SP ati awọn ipo VLP, bakannaa agbara lati ṣeto TWT kọọkan (Aago Wake Target) ni ipo aaye wiwọle.
    • Atilẹyin ti a ṣafikun fun MCTP (Ilana Irin-ajo Ohun elo Iṣakoso), ti a lo fun ibaraenisepo laarin awọn olutona iṣakoso ati awọn ẹrọ ti o somọ (awọn ilana agbalejo, awọn ẹrọ agbeegbe, ati bẹbẹ lọ).
    • Integration sinu mojuto MPTCP (MultiPath TCP), itẹsiwaju ti ilana TCP fun siseto iṣẹ ti asopọ TCP kan pẹlu ifijiṣẹ awọn apo-iwe ni nigbakannaa pẹlu awọn ipa-ọna pupọ nipasẹ awọn atọkun nẹtiwọọki oriṣiriṣi ti o ni nkan ṣe pẹlu awọn adirẹsi IP oriṣiriṣi. Itusilẹ tuntun ṣe afikun atilẹyin fun awọn adirẹsi ni ipo mesh kikun.
    • Awọn olutọju fun awọn ṣiṣan nẹtiwọọki ti a fi sinu ilana SRv6 (Apakan Routing IPv6) ti ṣafikun si netfilter.
    • Ṣe afikun atilẹyin sockmap fun awọn iho ṣiṣanwọle Unix.
  • Awọn ohun elo
    • Awakọ amdgpu ṣe atilẹyin Cyan Skillfish APUs (ti o ni ipese pẹlu Navi 1x GPUs). Yellow Carp APU ni bayi ṣe atilẹyin awọn kodẹki fidio. Imudara atilẹyin Aldebaran GPU. Ṣafikun awọn idamọ maapu tuntun ti o da lori GPU Navi 24 “Beige Goby” ati RDNA2. Imudara imuse ti awọn iboju foju foju (VKMS) ni a dabaa. Atilẹyin fun ibojuwo iwọn otutu ti awọn eerun AMD Zen 3 ti ni imuse.
    • Awakọ amdkfd (fun awọn GPU ọtọtọ, gẹgẹ bi Polaris) ṣe imuse oluṣakoso iranti foju ti o pin (SVM, iranti foju pin) ti o da lori HMM (isakoso iranti oriṣiriṣi), eyiti ngbanilaaye lilo awọn ẹrọ pẹlu awọn ẹka iṣakoso iranti tiwọn (MMU) , Ẹrọ iṣakoso iranti), eyiti o le wọle si iranti akọkọ. Ni pataki, lilo HMM, o le ṣeto aaye adirẹsi pinpin laarin GPU ati Sipiyu, ninu eyiti GPU le wọle si iranti akọkọ ti ilana naa.
    • Awakọ i915 fun awọn kaadi fidio Intel faagun lilo oluṣakoso iranti fidio TTM ati pẹlu agbara lati ṣakoso agbara agbara ti o da lori GuC (Aṣakoso micro Graphics). Awọn igbaradi ti bẹrẹ fun imuse ti atilẹyin fun Intel ARC Alchemist eya kaadi ati Intel Xe-HP GPU.
    • Awakọ nouveau n ṣe iṣakoso ina ẹhin fun awọn panẹli eDP nipa lilo DPCD (Data Iṣeto Iṣeto IfihanPort).
    • Ṣe afikun atilẹyin fun Adreno 7c Gen 3 ati Adreno 680 GPUs si awakọ msm.
    • Awakọ IOMMU jẹ imuse fun chirún Apple M1.
    • Fikun awakọ ohun fun awọn ọna ṣiṣe ti o da lori AMD Van Gogh APUs.
    • Awakọ Realtek R8188EU ti ni afikun si ẹka iduro, eyiti o rọpo ẹya atijọ ti awakọ (rtl8188eu) fun awọn eerun alailowaya Realtek RTL8188EU 802.11 b/g/n.
    • Awakọ ocp_pt wa ninu fun igbimọ PCIe ti o dagbasoke nipasẹ Meta (Facebook) pẹlu imuse ti aago atomiki kekere kan ati olugba GNSS kan, eyiti o le ṣee lo lati ṣeto iṣẹ ti awọn olupin amuṣiṣẹpọ akoko lọtọ lọtọ.
    • Afikun atilẹyin fun Sony Xperia 10II (Snapdragon 665), Xiaomi Redmi 2 (Snapdragon MSM8916), Samsung Galaxy S3 (Snapdragon MSM8226), Samsung Gavini/Codina/ Kyle fonutologbolori.
    • Atilẹyin ti a ṣafikun fun ARM SoС ati NVIDIA Jetson TX2 NX Apo Olùgbéejáde, Sancloud BBE Lite, PicoITX, DRC02, SolidRun SolidSense, SKOV i.MX6, Nitrogen8, Traverse Ten64, GW7902, Microchip SAMA7, ualcomm Snapdragon SDM636/SM8150 lọọgan -3G/M2e-3G, Marvell CN2x, ASpeed ​​​​AST913 (Facebook Cloudripper, Elbert ati Fuji olupin lọọgan), 2600KOpen STiH4-b418.
    • Atilẹyin ti a ṣafikun fun awọn panẹli LCD Gopher 2b, EDT ETM0350G0DH6/ETMV570G2DHU, Awọn imọ-ẹrọ LOGIC LTTD800480070-L6WH-RT, Multi-Innotechnology MI1010AIT-1CP1, Innolux EJ030NA 3.0k9341K3300, Samsung 33XC20 7430, Samsung DB2401, WideChips WSXNUMX .
    • Ti ṣafikun awakọ LiteETH pẹlu atilẹyin fun awọn olutona Ethernet ti a lo ninu sọfitiwia LiteX SoCs (fun awọn FPGAs).
    • Aṣayan irẹwẹsi kan ti ṣafikun si awakọ ohun afetigbọ lati ṣakoso ifisi iṣiṣẹ ni ipo airi to kere julọ. Tun ṣafikun aṣayan quirk_flags lati kọja awọn eto ẹrọ kan pato.

Ni akoko kanna, Latin American Free Software Foundation ṣe agbekalẹ ẹya kan ti ekuro ọfẹ patapata 5.15 - Linux-libre 5.15-gnu, nu kuro ninu awọn eroja ti famuwia ati awọn awakọ ti o ni awọn paati ti kii ṣe ọfẹ tabi awọn apakan koodu, ipari eyiti o jẹ opin. nipasẹ olupese. Itusilẹ tuntun n ṣe iṣejade ti ifiranṣẹ si akọọlẹ nipa ipari ti mimọ. Awọn iṣoro ti o wa titi nigba ti o ṣẹda awọn idii nipa lilo mkspec, atilẹyin ilọsiwaju fun awọn idii imolara. Yọ diẹ ninu awọn ikilo ti o han nigbati o nṣiṣẹ faili akọsori firmware.h. Laaye awọn o wu ti diẹ ninu awọn orisi ti ikilo ("kika-afikun-args", comments, ajeku awọn iṣẹ ati awọn oniyipada) nigba ti ile ni "-Werror" mode. Fikun gehc-achc iwakọ ninu. Awọn imudojuiwọn blob ninu koodu ni awakọ ati subsystems adreno, btusb, btintel, brcmfmac, aarch64 qcom. Ninu ti awọn awakọ prism54 (yiyọ) ati rtl8188eu (rọpo nipasẹ r8188eu) ti duro.

orisun: opennet.ru

Fi ọrọìwòye kun