Itusilẹ ekuro Linux 6.0

Lẹhin oṣu meji ti idagbasoke, Linus Torvalds ṣafihan itusilẹ ti ekuro Linux 6.0. Iyipada pataki ni nọmba ẹya jẹ fun awọn idi ẹwa ati pe o jẹ igbesẹ deede lati yọkuro aibalẹ ti ikojọpọ nọmba nla ti awọn ọran ninu jara (Linus ṣe awada pe idi fun yiyipada nọmba ẹka jẹ diẹ sii nitori pe awọn ika ọwọ rẹ ti pari. ati ika ẹsẹ lati ka awọn nọmba ti ikede) . Lara awọn ayipada ti o ṣe akiyesi julọ: atilẹyin fun kikọ buffered asynchronous ni XFS, awakọ bulọọki ublk, iṣapeye ti oluṣeto iṣẹ-ṣiṣe, ẹrọ kan fun ijẹrisi iṣẹ ṣiṣe to tọ ti ekuro, atilẹyin fun cipher block ARIA.

Awọn imotuntun bọtini ni kernel 6.0:

  • Disk Subsystem, I/O ati File Systems
    • Eto faili XFS ti ṣafikun atilẹyin fun awọn kikọ buffered asynchronous nipa lilo ẹrọ io_uring. Awọn idanwo iṣẹ ṣiṣe ti a ṣe ni lilo awọn irinṣẹ fio (o tẹle ara 1, iwọn bulọọki 4kB, awọn aaya 600, kikọ lẹsẹsẹ) ṣafihan ilosoke ninu awọn iṣẹ titẹ sii / iṣẹjade fun iṣẹju keji (IOPS) lati 77k si 209k, iyara gbigbe data lati 314MB / s si 854MB / s, ati idinku silẹ lati 9600ns si 120ns (awọn akoko 80).
    • Eto faili Btrfs ṣe imuse ẹya keji ti ilana fun aṣẹ “firanṣẹ”, eyiti o ṣe atilẹyin fun afikun metadata, fifiranṣẹ data ni awọn bulọọki nla (diẹ sii ju 64K) ati gbigbe awọn iwọn ni fọọmu fisinuirindigbindigbin. Iṣiṣẹ ti awọn iṣẹ kika taara ti pọ si ni pataki (to awọn akoko 3) nitori kika nigbakanna ti o to awọn apa 256. Din ariyanjiyan titiipa dinku ati ṣiṣe ayẹwo awọn metadata ni iyara nipasẹ didin metadata ti a fi pamọ fun awọn eroja ti a da duro.
    • Awọn iṣẹ ioctl tuntun EXT4_IOC_GETFSUUID ati EXT4_IC_SETFSUUID ti jẹ afikun si eto faili ext4 lati gba pada tabi ṣeto UUID ti o fipamọ sinu superblock.
    • Eto faili F2FS nfunni ni ipo lilo iranti kekere, eyiti o mu iṣẹ ṣiṣe ṣiṣẹ lori awọn ẹrọ pẹlu iye kekere ti Ramu ati gba ọ laaye lati dinku agbara iranti ni idiyele iṣẹ ti o dinku.
    • Atilẹyin ti a ṣafikun fun ijẹrisi awakọ NVMe.
    • Olupin NFSv4 n ṣe opin lori nọmba awọn alabara ti nṣiṣe lọwọ, eyiti o ṣeto bi awọn alabara ti o wulo 1024 fun gigabyte kọọkan ti Ramu ninu eto naa.
    • Imuse alabara CIFS ti ni ilọsiwaju iṣẹ ni ipo gbigbe ikanni pupọ.
    • Asia tuntun FAN_MARK_IGNORE ti ni afikun si eto ipasẹ iṣẹlẹ ni fanotify FS lati foju awọn iṣẹlẹ kan pato.
    • Ninu Overlayfs FS, nigbati o ba gbe sori oke FS kan pẹlu ṣiṣe aworan ID olumulo, atilẹyin ti o tọ fun awọn atokọ iṣakoso wiwọle ti POSIX ti pese.
    • Ti ṣafikun awakọ bulọọki ublk, eyiti o gbe ọgbọn kan pato si ẹgbẹ ti ilana isale ni aaye olumulo ati lo io_uring subsystem.
  • Iranti ati awọn iṣẹ eto
    • Awọn ẹya tuntun ti ṣafikun DAMON (Data Access MONitor) subsystem, gbigba kii ṣe lati ṣe atẹle iraye si ilana si Ramu lati aaye olumulo, ṣugbọn tun lati ni ipa lori iṣakoso iranti. Ni pato, a ti dabaa module tuntun “LRU_SORT”, eyiti o pese akojọpọ awọn atokọ LRU (Laipe Laipe Ti a lo) lati mu ayo awọn oju-iwe iranti kan pọ si.
    • Agbara lati ṣẹda awọn agbegbe iranti tuntun ti ni imuse nipa lilo awọn agbara ti ọkọ akero CXL (Compute Express Link), ti a lo lati ṣeto ibaraenisepo iyara giga laarin Sipiyu ati awọn ẹrọ iranti. CXL gba ọ laaye lati sopọ awọn agbegbe iranti tuntun ti a pese nipasẹ awọn ẹrọ iranti ita ati lo wọn bi awọn orisun aaye aaye adirẹsi ti ara lati faagun iranti iwọle ID ti eto (DDR) tabi iranti ayeraye (PMEM).
    • Awọn ọran iṣẹ ṣiṣe ti a yanju pẹlu awọn ilana AMD Zen ti o fa nipasẹ koodu ti a ṣafikun ni awọn ọdun 20 sẹhin lati ṣiṣẹ ni ayika ọran ohun elo kan ni diẹ ninu awọn chipsets (afikun ilana WAIT ti a ṣafikun lati fa fifalẹ ero isise naa ki chipset naa ni akoko lati lọ si ipo aiṣiṣẹ). Iyipada naa yorisi iṣẹ ṣiṣe ti o dinku labẹ awọn ẹru iṣẹ ti o ma n yipada nigbagbogbo laarin awọn ipinlẹ aiṣiṣẹ ati nšišẹ. Fún àpẹrẹ, lẹ́yìn pípàdánù ibi ìṣiṣẹ́, ìpíndọ́gba ìdánwò tbench ti pọ̀ sí i láti 32191 MB/s sí 33805 MB/s.
    • Koodu pẹlu heuristics ti yọkuro lati oluṣeto iṣẹ-ṣiṣe, aridaju iṣiwa ti awọn ilana si awọn CPUs ti kojọpọ ti o kere ju, ni akiyesi ere asọtẹlẹ ni lilo agbara. Awọn olupilẹṣẹ pari pe heuristic ko wulo to ati pe o rọrun lati yọkuro ati ṣiwakiri awọn ilana laisi igbelewọn afikun nigbakugba ti iru ijira le ja si agbara agbara kekere (fun apẹẹrẹ, nigbati Sipiyu ibi-afẹde wa ni ipele agbara kekere). Dinku awọn heuristics yori si idinku ninu lilo agbara nigba ṣiṣe awọn iṣẹ ṣiṣe aladanla, fun apẹẹrẹ, ninu idanwo iyipada fidio, agbara agbara dinku nipasẹ 5.6%.
    • Pipin awọn iṣẹ-ṣiṣe kọja awọn ohun kohun Sipiyu lori awọn eto nla ti ni iṣapeye, eyiti o ti ni ilọsiwaju iṣẹ ṣiṣe fun awọn iru iṣẹ ṣiṣe kan.
    • Ni wiwo io_uring asynchronous I/O nfunni asia tuntun, IORING_RECV_MULTISHOT, eyiti o fun ọ laaye lati lo ipo-shot pupọ pẹlu ipe eto recv () lati ṣe awọn iṣẹ kika pupọ lati iho nẹtiwọọki kanna ni ẹẹkan. io_uring tun ṣe atilẹyin gbigbe nẹtiwọọki laisi ifipamọ agbedemeji (daakọ-odo).
    • Ti ṣe imuse agbara lati fi awọn eto BPF ti o somọ pọ si ipo oorun. BPF tun ṣe afikun ksym iterator tuntun kan fun ṣiṣẹ pẹlu awọn tabili aami ekuro.
    • Ni wiwo “efivars” atijo ni sysfs, ti a pinnu fun iraye si awọn oniyipada bata bata UEFI, ti yọkuro (fivarfs foju FS ti wa ni lilo ni gbogbo agbaye lati wọle si data EFI).
    • IwUlO perf ni awọn ijabọ tuntun fun itupalẹ awọn ija titiipa ati akoko ti o lo nipasẹ ero isise ti n ṣiṣẹ awọn paati ekuro.
    • Eto CONFIG_CC_OPTIMIZE_FOR_PERFORMANCE_O3 ti yọkuro, eyiti o gba ekuro laaye lati kọ ni ipo iṣapeye "-O3". O ṣe akiyesi pe awọn adanwo pẹlu awọn ipo iṣapeye le ṣee ṣe nipasẹ gbigbe awọn asia lakoko apejọ (“ṣe KCFLAGS = -O3”), ati fifi eto kan kun si Kconfig nilo profaili iṣẹ ṣiṣe atunwi, ti n fihan pe yiyi lupu ti a lo ni ipo “-O3” yoo fun anfani ni akawe si “-O2” ti o dara ju ipele.
    • A ti ṣafikun wiwo debugfs lati gba alaye nipa iṣẹ ṣiṣe ti “awọn oluso iranti” kọọkan (awọn olutọju ti a pe nigbati iranti ko to ati iṣakojọpọ awọn ẹya data ekuro lati dinku agbara iranti wọn).
    • Fun OpenRISC ati awọn ayaworan ile LoongArch, atilẹyin fun ọkọ akero PCI ti ni imuse.
    • Fun faaji RISC-V, itẹsiwaju “Zicbom” ti ni imuse lati ṣakoso awọn ẹrọ pẹlu DMA ti kii ṣe kaṣe-iṣọkan.
  • Foju ati Aabo
    • A ti ṣafikun ẹrọ ijẹrisi RV (Imudaniloju akoko ṣiṣe) lati jẹrisi iṣẹ ṣiṣe to pe lori awọn eto igbẹkẹle ti o ga julọ ti o ṣe iṣeduro awọn ikuna kankan. Ijẹrisi jẹ ṣiṣe ni akoko asiko nipasẹ sisọ awọn olutọju si awọn aaye itọpa ti o ṣayẹwo ilọsiwaju gangan ti ipaniyan lodi si awoṣe ipinnu ipinnu itọkasi ti ẹrọ ti o ṣalaye ihuwasi ireti ti eto naa. Ijerisi pẹlu awoṣe ni asiko asiko ti wa ni ipo bi iwuwo fẹẹrẹ diẹ sii ati ọna irọrun lati ṣe fun ifẹsẹmulẹ deede ti ipaniyan lori awọn eto to ṣe pataki, ni ibamu awọn ọna ijẹrisi igbẹkẹle kilasika. Lara awọn anfani ti RV ni agbara lati pese iṣeduro ti o muna laisi imuse lọtọ ti gbogbo eto ni ede awoṣe, bakanna bi idahun rọ si awọn iṣẹlẹ airotẹlẹ.
    • Awọn paati ekuro ti a ṣepọ fun ṣiṣakoso awọn enclaves ti o da lori imọ-ẹrọ Intel SGX2 (Software Guard eXtensions), eyiti o fun laaye awọn ohun elo lati ṣiṣẹ koodu ni awọn agbegbe ti paroko ti iranti, eyiti iyoku eto naa ni iwọle to lopin. Intel SGX2 ọna ẹrọ ni atilẹyin ni Intel Ice Lake ati Gemini Lake awọn eerun, ati ki o yatọ lati Intel SGX1 ni afikun ilana fun ìmúdàgba iranti isakoso ti enclaves.
    • Fun faaji x86, agbara lati gbe irugbin fun olupilẹṣẹ nọmba pseudorandom nipasẹ awọn eto bootloader ti ni imuse.
    • Module SafeSetID LSM ni bayi ni agbara lati ṣakoso awọn ayipada ti a ṣe nipasẹ awọn ẹgbẹ ṣeto () ipe. SafeSetID gba awọn iṣẹ eto laaye lati ṣakoso awọn olumulo ni aabo laisi awọn anfani ti o pọ si (CAP_SETUID) ati laisi nini awọn anfani gbongbo.
    • Ṣe afikun atilẹyin fun ARIA Àkọsílẹ cipher.
    • Ipele iṣakoso aabo ti o da lori BPF n pese agbara lati so awọn olutọju si awọn ilana kọọkan ati awọn ẹgbẹ ilana (awọn ẹgbẹ).
    • Ilana kan pẹlu imuse oluṣọ ni a ti ṣafikun lati rii awọn idorikodo ti awọn eto alejo ti o da lori ṣiṣe abojuto iṣẹ vCPU.
  • Nẹtiwọọki subsystem
    • Awọn olutọju fun ipilẹṣẹ ati ṣayẹwo awọn kuki SYN ti ni afikun si eto abẹlẹ BPF. Tun ṣafikun ni ṣeto awọn iṣẹ (kfunc) fun iraye si ati yiyipada ipo awọn asopọ.
    • Iṣakojọpọ alailowaya ti ṣafikun atilẹyin fun ẹrọ MLO (Ọpọlọpọ-Link Operation), ti ṣalaye ni sipesifikesonu WiFi 7 ati gbigba awọn ẹrọ laaye lati gba nigbakanna ati firanṣẹ data ni lilo awọn ẹgbẹ igbohunsafẹfẹ oriṣiriṣi ati awọn ikanni, fun apẹẹrẹ, lati ṣeto ni nigbakannaa awọn ikanni ibaraẹnisọrọ pupọ laarin ẹya. wiwọle ojuami si a ni ose ẹrọ.
    • Iṣe ti ilana TLS ti a ṣe sinu ekuro ti ni ilọsiwaju.
    • Ṣafikun aṣayan laini aṣẹ kernel kan "orukọ olupin = "lati gba orukọ olupin laaye lati ṣeto ni kutukutu ilana bata, ṣaaju ki awọn paati aaye aaye olumulo to bẹrẹ.
  • Awọn ohun elo
    • Awakọ i915 (Intel) n pese atilẹyin fun Intel Arc (DG2/Alchemist) A750 ati A770 awọn kaadi fidio ọtọtọ. Imuse ibẹrẹ ti atilẹyin fun Intel Ponte Vecchio (Xe-HPC) ati Meteor Lake GPUs ti dabaa. Iṣẹ tẹsiwaju lati ṣe atilẹyin Syeed Intel Raptor Lake.
    • Awakọ amdgpu tẹsiwaju lati pese atilẹyin fun AMD RDNA3 (RX 7000) ati awọn iru ẹrọ CDNA (Instinct).
    • Awakọ Nouveau ti tun ṣe koodu atilẹyin fun awọn ẹrọ ifihan NVIDIA nv50 GPU.
    • Ṣafikun awakọ loggicvc DRM tuntun fun awọn iboju LogiCVC.
    • Awakọ v3d (fun Broadcom Video Core GPU) ṣe atilẹyin awọn igbimọ Rasipibẹri Pi 4.
    • Ṣe afikun atilẹyin fun Qualcomm Adreno 619 GPU si awakọ msm.
    • Ṣe afikun atilẹyin fun ARM Mali Valhall GPU si awakọ Panfrost.
    • Ṣe afikun atilẹyin ibẹrẹ fun awọn ilana Qualcomm Snapdragon 8cx Gen3 ti a lo ninu awọn kọnputa agbeka Lenovo ThinkPad X13s.
    • Awọn awakọ ohun ti a ṣafikun fun AMD Raphael (Ryzen 7000), AMD Jadeite, Intel Meteor Lake ati awọn iru ẹrọ Mediatek MT8186.
    • Atilẹyin ti a ṣafikun fun Intel Habana Gaudi 2 awọn iyara ikẹkọ ẹrọ.
    • Atilẹyin ti a ṣafikun fun ARM SoC Allwinner H616, NXP i.MX93, Sunplus SP7021, Nuvoton NPCM8XX, Marvell Prestera 98DX2530, Google Chameleon v3.

Ni akoko kanna, Latin American Free Software Foundation ṣe agbekalẹ ẹya kan ti ekuro ọfẹ patapata 6.0 - Linux-libre 6.0-gnu, imukuro ti awọn eroja ti famuwia ati awọn awakọ ti o ni awọn paati ti kii ṣe ọfẹ tabi awọn apakan ti koodu, ipari eyiti o jẹ ni opin nipasẹ olupese. Itusilẹ tuntun ṣe alaabo lilo awọn blobs ni awakọ ohun CS35L41 HD ati awakọ UCSI fun awọn alabojuto STM32G0. Awọn faili DTS fun Qualcomm ati awọn eerun MediaTek ti di mimọ. Pipa ti awọn blobs ninu awakọ MediaTek MT76 ti jẹ atunṣe. Awọn koodu mimọ blob imudojuiwọn ni AMDGPU, Adreno, Tegra VIC, Netronome NFP ati Habanalabs Gaudi2 awakọ ati awọn ọna ṣiṣe. Daduro nu awakọ VXGE, eyiti a yọkuro lati ekuro.

orisun: opennet.ru

Fi ọrọìwòye kun