开源 FPGA 计划

宣布成立一个新的非盈利组织——开源 FPGA 基金会(OSFPGA),旨在开发、推广和创建一个环境,以协作开发与使用现场可编程门阵列相关的开放硬件和软件解决方案( FPGA)集成电路,允许在芯片制造后重新编程逻辑工作。 此类芯片中的关键二进制运算(AND、NAND、OR、NOR 和 XOR)是使用具有多个输入和一个输出的逻辑门(开关)来实现的,其之间的连接配置可以通过软件更改。

OSFPGA 的创始成员包括来自 EPFL、QuickLogic、Zero ASIC 和 GSG Group 等公司和项目的一些著名 FPGA 技术研究人员。 在新组织的支持下,将开发一套开放且免费的工具,用于基于FPGA芯片的快速原型设计并支持电子设计自动化(EDA)。 该组织还将监督与 FPGA 相关的开放标准的联合开发,为公司提供一个分享经验和技术的中立论坛。

预计 OSFPGA 将使芯片公司能够消除生产 FPGA 所涉及的一些工程流程,为最终用户开发人员提供现成的定制 FPGA 软件堆栈,并支持协作创建新的高质量架构。 值得注意的是,OSFPGA提供的开放工具将保持最高质量水平,达到或超过行业标准。

开源 FPGA 基金会的主要目标是:

  • 提供资源和基础设施来开发一套与 FPGA 硬件和软件相关的工具。
  • 通过各种活动推广这些工具的使用。
  • 为先进FPGA架构的研究以及相关软硬件开发提供支持、开发和工具开放。
  • 维护源自出版物和过期专利公开的公开可用 FPGA 架构、设计技术和电路板设计的目录。
  • 准备并提供培训材料,以帮助建立感兴趣的开发人员社区。
  • 简化与芯片制造商的协作,以降低测试和验证新 FPGA 架构和硬件的成本和时间。

相关开源工具:

  • OpenFPGA 是一款用于 FPGA 的电子设计自动化 (EDA) 套件,支持基于 Verilog 描述的硬件生成。
  • 1st CLaaS 是一个框架,允许您使用 FPGA 为 Web 和云应用程序创建硬件加速器。
  • Verilog-to-Routing (VTR) 是一个工具包,可让您根据 Verilog 语言的描述创建所选 FPGA 的配置。
  • Symbiflow 是一个工具包,用于开发基于 Xilinx 7、Lattice iCE40、Lattice ECP5 和 QuickLogic EOS S3 FPGA 的解决方案。
  • Yosys 是一个适用于常见应用的 Verilog RTL 综合框架。
  • EPFL 是用于开发逻辑综合应用程序的库集合。
  • LSOracle 是 EPFL 库的附加组件,用于优化逻辑综合结果。
  • Edalize 是一个 Python 工具包,用于与电子设计自动化 (EDA) 系统交互并为其生成项目文件。
  • GHDL 是 VHDL 硬件描述语言的编译器、分析器、模拟器和合成器。
  • VerilogCreator 是 QtCreator 的一个插件,可将该应用程序转变为 Verilog 2005 中的开发环境。
  • FuseSoC 是 HDL(硬件描述语言)代码的包管理器和 FPGA/ASIC 的汇编抽象实用程序。
  • SOFA(Skywater Open-source FPGA)是一套使用Skywater PDK和OpenFPGA框架创建的开放FPGA IP(知识产权)。
  • openFPGALoader 是一个用于对 FPGA 进行编程的实用程序。
  • LiteDRAM - 用于 FPGA 的定制 IP 核,具有 DRAM 实现。

此外,我们还可以注意到 Main_MiSTer 项目,该项目允许使用连接到电视或显示器的 DE10-Nano FPGA 板来模拟旧游戏机和经典计算机的设备。 与运行模拟器不同,使用 FPGA 可以重新创建原始硬件环境,您可以在该环境上运行旧硬件平台的现有系统映像和应用程序。

来源: opennet.ru

添加评论