開源 FPGA 計劃

宣布成立一個新的非營利組織——開源 FPGA 基金會(OSFPGA),旨在開發、推廣和創建一個環境,​​以協作開發與使用現場可編程門陣列相關的開放硬體和軟體解決方案( FPGA)集成電路,允許在晶片製造後重新編程邏輯工作。 此類晶片中的關鍵二進位運算(AND、NAND、OR、NOR 和 XOR)是使用具有多個輸入和一個輸出的邏輯閘(開關)來實現的,其之間的連接配置可以透過軟體變更。

OSFPGA 的創始成員包括來自 EPFL、QuickLogic、Zero ASIC 和 GSG Group 等公司和專案的一些著名 FPGA 技術研究人員。 在新組織的支持下,將開發一套開放且免費的工具,用於基於FPGA晶片的快速原型設計並支援電子設計自動化(EDA)。 該組織還將監督與 FPGA 相關的開放標準的聯合開發,為公司提供一個分享經驗和技術的中立論壇。

預計 OSFPGA 將使晶片公司能夠消除生產 FPGA 所涉及的一些工程流程,為最終用戶開發人員提供現成的客製化 FPGA 軟體堆疊,並支援協作創建新的高品質架構。 值得注意的是,OSFPGA提供的開放工具將保持最高品質水平,達到或超過行業標準。

開源 FPGA 基金會的主要目標是:

  • 提供資源和基礎設施來開發一套與 FPGA 硬體和軟體相關的工具。
  • 透過各種活動推廣這些工具的使用。
  • 為先進FPGA架構的研究以及相關軟硬體開發提供支援、開發和工具開放。
  • 維護源自出版物和過期專利揭露的公開 FPGA 架構、設計技術和電路板設計的目錄。
  • 準備並提供培訓材料,以幫助建立感興趣的開發人員社區。
  • 簡化與晶片製造商的協作,以降低測試和驗證新 FPGA 架構和硬體的成本和時間。

相關開源工具:

  • OpenFPGA 是一款用於 FPGA 的電子設計自動化 (EDA) 套件,支援基於 Verilog 描述的硬體生成。
  • 1st CLaaS 是一個框架,可讓您使用 FPGA 為 Web 和雲端應用程式建立硬體加速器。
  • Verilog-to-Routing (VTR) 是一個工具包,可讓您根據 Verilog 語言的描述建立所選 FPGA 的配置。
  • Symbiflow 是一個工具包,用於開發基於 Xilinx 7、Lattice iCE40、Lattice ECP5 和 QuickLogic EOS S3 FPGA 的解決方案。
  • Yosys 是一個適用於常見應用的 Verilog RTL 綜合架構。
  • EPFL 是用於開發邏輯綜合應用程式的函式庫的集合。
  • LSOracle 是 EPFL 庫的附加元件,用於優化邏輯綜合結果。
  • Edalize 是一個 Python 工具包,用於與電子設計自動化 (EDA) 系統互動並為其產生專案文件。
  • GHDL 是 VHDL 硬體描述語言的編譯器、分析器、模擬器和合成器。
  • VerilogCreator 是 QtCreator 的插件,可將該應用程式轉變為 Verilog 2005 中的開發環境。
  • FuseSoC 是 HDL(硬體描述語言)程式碼的套件管理器和 FPGA/ASIC 的彙編抽象實用程式。
  • SOFA(Skywater Open-source FPGA)是一套使用Skywater PDK和OpenFPGA框架創建的開放FPGA IP(智慧財產權)。
  • openFPGALoader 是一個用於對 FPGA 進行程式設計的實用程式。
  • LiteDRAM - 用於 FPGA 的客製化 IP 核,具有 DRAM 實作。

此外,我們還可以注意到 Main_MiSTer 項目,該項目允許使用連接到電視或顯示器的 DE10-Nano FPGA 板來模擬舊遊戲機和經典電腦的裝置。 與運行模擬器不同,使用 FPGA 可以重新建立原始硬體環境,您可以在該環境上運行舊硬體平台的現有系統映像和應用程式。

來源: opennet.ru

添加評論