Ingxoxo: Iphrojekthi ye-OpenROAD ihlose ukuxazulula inkinga ye-automation ye-design processor

Ingxoxo: Iphrojekthi ye-OpenROAD ihlose ukuxazulula inkinga ye-automation ye-design processor
--ΠžΡ‚ΠΎ - Ama-pexels - CC BY

Ngu inikezwe I-PWC, imakethe yobuchwepheshe be-semiconductor iyakhula - ngonyaka odlule ifinyelele ku-$ 481 billion. Kodwa izinga lokukhula kwayo muva nje wehlile. Izizathu zokwehla zihlanganisa izinqubo zokuklama idivayisi ezididayo kanye nokuntuleka kokuzenzakalela.

Eminyakeni embalwa edlule, onjiniyela abavela ku-Intel wabhalaukuthi lapho udala i-microprocessor esebenza kahle kakhulu kufanele usebenzise amathuluzi esoftware ahlukene ayi-100–150 (I-EDA). Isimo singaba sibi kakhulu endabeni yamadivayisi ahlukahlukene, ukwakheka kwawo okubandakanya izinhlobo eziningana zama-chips - ASIC, FPGA, CPU noma GPU. Ngenxa yalokho, kwenzeka amaphutha okuklama abambezela ukukhululwa kwemikhiqizo.

Naphezu kwenani elikhulu lamathuluzi asizayo, onjiniyela basaphoqeleka ukuthi benze umsebenzi othile ngesandla. Ababhali bencwadi "I-Advanced Logic Synthesisβ€œbathi kwesinye isikhathi abaklami kumele bhala imibhalo nge-Skill noma i-Python yemigqa eyizigidi ezimbili ukuze wakhe imitapo yolwazi amaseli.

Imibhalo iphinde ibhalwe ukuze kucutshungulwe imibiko ekhiqizwe amasistimu e-EDA. Uma uthuthukisa i-chip kusetshenziswa ubuchwepheshe benqubo ye-22nm, le mibiko ingathatha kufikela ku-30 ​​terabytes.

I-DARPA inqume ukulungisa isimo futhi izame ukumisa izinqubo zokuklama. E-ejensi futhi cabangaukuthi izindlela ezikhona zokwenza ama-chips seziphelelwe yisikhathi. Inhlangano kwethulwe uhlelo lweminyaka emihlanu I-OpenROAD, okuhloswe ngayo ukuthuthukisa amathuluzi amasha okwenza ngokuzenzakalelayo izinqubo zokuklama ama-chip.

Hlobo luni lohlelo

Uhlelo lubandakanya amaphrojekthi ambalwa asebenzisa ukufunda komshini kanye nobuchwepheshe bamafu ukuze kusebenze izigaba ngazinye zokwenziwa kwe-chip. Njengengxenye yesinyathelo ziyathuthukiswa (Umdwebo 1) amathuluzi angaphezu kweshumi. Okulandelayo sizokhuluma ngokuningiliziwe ngezinye zazo: Flow Runner, RePlAce, TritonCTS, OpenSTA.

Flow Runner iyithuluzi lokuphatha imitapo yolwazi ye-RTL ne-GDSII. Okugcina kungamafayela edathabheyisi okuyindinganiso yemboni yokushintshisana ngolwazi mayelana namasekhethi adidiyelwe kanye nezihloko zawo. Isixazululo sisekelwe kubuchwepheshe beziqukathi ze-Docker. Ungasebenzisa i-Flow Runner kokubili emafini nasendaweni yangakini. Umhlahlandlela wokufaka usendaweni yokugcina esemthethweni ku-GitHub.

I-RePlAce yisixazululo sefu esisekelwe ekufundeni komshini, esibophezelekile ngokubeka izingxenye ku-chip kanye nomzila ozenzakalelayo. Ngu idatha ethile, ama-algorithms ahlakaniphile akhulisa ukusebenza kahle kwethuluzi ngo-2-10% uma kuqhathaniswa nezinhlelo zakudala. Ngaphezu kwalokho, ukusetshenziswa emafini kwenza ukukala kube lula. Umhlahlandlela wokufaka nokumisa uyatholakala endaweni yokugcina.

I-TritonCTS - insiza yokuthuthukisa ama-pulses wewashi anikezwe chip. Isiza amasiginali wewashi lomzila kuzo zonke izingxenye zedivayisi ezinokulibaziseka okufanayo. Umgomo wokusebenza usekelwe H-izihlahla. Le ndlela ukuvusa ukusebenza kahle kokusabalalisa amasignali ngama-30% uma kuqhathaniswa nezindlela zendabuko. Abathuthukisi bathi esikhathini esizayo lesi sibalo singakhuphuka sibe ngu-56%. Ikhodi yomthombo ye-TritonCTS nemibhalo etholakalayo ku-GitHub.

I-OpenSTA - Injini yokuhlaziya isikhathi esimile. Inikeza umklami ithuba lokuhlola ukusebenza kwe-chip ngaphambi kokuthi ihlanganiswe ngempela. Ikhodi yesibonelo ku-OpenSTA kubukeka kanje.

@@ -6,7 +6,7 @@ read_liberty -corner ff example1_fast.lib
read_verilog example1.v
link_design top
set_timing_derate -early 0.9
set_timing_derate -early 1.1
set_timing_derate -late 1.1
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
# report all corners

Insiza isekela izincazelo ze-netlist zekhodi ye-Verilog, imitapo yolwazi yefomethi ye-Liberty, amafayela e-SDC, njll.

Izinzuzo nokungalungi

Ochwepheshe abavela ku-IBM kanye ne-IEEE gubhaukuthi ubuchwepheshe befu nokufunda komshini sekwedlule isikhathi eside ukuze kusetshenziswe ekukhiqizeni ama-chip. Ngokombono wabo, iphrojekthi ye-DARPA ingaba isibonelo esiphumelelayo sokuqaliswa kwalo mbono kanye izobeka ukuqala kwezinguquko embonini.

Kulindeleke futhi ukuthi imvelo evulekile ye-OpenROAD izodala umphakathi onamandla ozungeze amathuluzi futhi ihehe iziqalo ezintsha.

Ingxoxo: Iphrojekthi ye-OpenROAD ihlose ukuxazulula inkinga ye-automation ye-design processor
--ΠžΡ‚ΠΎ - Ama-pexels - CC BY

Bakhona kakade ababambiqhaza - ilabhorethri ethuthukisa ama-chips ezinze eNyuvesi yaseMichigan, kuzoba ngowokuqala, ozohlola amathuluzi omthombo ovulekile we-OpenROAD. Kodwa akukaziwa ukuthi izixazululo ezintsha zizokwazi yini ukuba nomthelela obonakalayo ezindlekweni zemikhiqizo yokugcina.

Sekukonke, amathuluzi athuthukiswayo ngaphansi kobuholi be-DARPA kulindeleke ukuthi abe nomthelela omuhle embonini yokuphrosesa, futhi amaphrojekthi amaningi amasha azoqala ukuvela kule ndawo. Isibonelo kungaba ithuluzi IGEDA - ikuvumela ukuthi udizayine ama-chips anenombolo engenamkhawulo yezingxenye. I-gEDA ihlanganisa izinsiza zokuhlela nokumodela ama-microcircuits kanye nemizila yebhodi. Isixazululo senzelwe amapulatifomu e-UNIX, kodwa inqwaba yezingxenye zawo nawo asebenza ngaphansi kweWindows. Umhlahlandlela wokusebenza nabo ungatholakala kumadokhumenti akuwebhusayithi yephrojekthi.

Amathuluzi atholakala mahhala anikeza izinhlangano ezizimele kanye neziqalo izinketho eziningi. Kungenzeka ukuthi ngokuhamba kwesikhathi, izindlela ezintsha ze-OpenROAD zokuthuthukiswa kwamathuluzi e-EDA nokwakhiwa kwama-chip zingaba izinga lemboni.

Esibhala ngakho kubhulogi yethu yebhizinisi:

Source: www.habr.com

Engeza amazwana