Ukuqapha kwe-HDMI kwesibili ku-Raspberry Pi3 ngesixhumi esibonakalayo se-DPI nebhodi le-FPGA


Le vidiyo ibonisa: ibhodi le-Raspberry Pi3, elixhunywe kulo ngesixhumi se-GPIO ibhodi le-FPGA i-Mars Rover2rpi (Cyclone IV), okuxhunywe kulo imonitha ye-HDMI. Imonitha yesibili ixhunywe ngesixhumi esijwayelekile se-HDMI se-Raspberry Pi3. Konke kusebenza ndawonye njengesistimu yokuqapha ekabili.

Okulandelayo ngizokutshela ukuthi lokhu kwenziwa kanjani.

Ibhodi elidumile le-Raspberry Pi3 linesixhumi se-GPIO ongaxhuma ngaso amakhadi ahlukahlukene okunweba: izinzwa, ama-LED, abashayeli bezimoto ezinyathelayo nokunye okuningi. Umsebenzi oqondile wephinikhodi ngayinye kusixhumi uncike ekucushweni kwembobo. Ukucushwa kwe-GPIO ALT2 kukuvumela ukuthi ushintshele isixhumi kumodi yesixhumi esibonakalayo se-DPI, i-Display Parallel Interface. Kunamakhadi okunweba okuxhuma amamonitha e-VGA nge-DPI. Kodwa-ke, okokuqala, abaqaphi be-VGA abasavamile njenge-HDMI, futhi okwesibili, isikhombimsebenzisi sedijithali siya ngokuya singcono kune-analogue. Ngaphezu kwalokho, i-DAC kulawo mabhodi okunweba e-VGA ngokuvamile yenziwa ngendlela yamaketanga e-R-2-R futhi ngokuvamile angabi ngaphezu kwamabhithi angu-6 ngombala ngamunye.

Kumodi ye-ALT2, izikhonkwane zokuxhuma ze-GPIO zinencazelo elandelayo:

Ukuqapha kwe-HDMI kwesibili ku-Raspberry Pi3 ngesixhumi esibonakalayo se-DPI nebhodi le-FPGA

Lapha ngifake umbala wezikhonkwane ze-RGB zesixhumi obomvu, oluhlaza okotshani noluhlaza okwesibhakabhaka ngokulandelana. Ezinye izimpawu ezibalulekile amasiginali we-V-SYNC kanye ne-H-SYNC, kanye ne-CLK. Ifrikhwensi yewashi le-CLK imvamisa lapho amanani ephikseli akhishelwa khona kusixhumi, kuya ngemodi yevidiyo ekhethiwe.

Ukuze uxhume imonitha ye-HDMI yedijithali, udinga ukuthwebula amasignali e-DPI yesixhumi esibonakalayo futhi uwaguqulele kumasiginali we-HDMI. Lokhu kungenziwa, isibonelo, kusetshenziswa uhlobo oluthile lwebhodi le-FPGA. Njengoba kuvela, ibhodi le-Mars Rover2rpi lifanele lezi zinhloso. Eqinisweni, inketho eyinhloko yokuxhuma leli bhodi nge-adaptha ekhethekile ibukeka kanje:

Ukuqapha kwe-HDMI kwesibili ku-Raspberry Pi3 ngesixhumi esibonakalayo se-DPI nebhodi le-FPGA

Leli bhodi lisetshenziselwa ukwandisa inani lamachweba we-GPIO kanye nokuxhuma amadivaysi amaningi okujikeleza ku-raspberry. Ngesikhathi esifanayo, amasignali angu-4 e-GPIO analokhu kuxhumana asetshenziselwa amasignali e-JTAG, ukuze uhlelo oluvela ku-Raspberry lukwazi ukulayisha i-firmware ye-FPGA ku-FPGA. Ngenxa yalokhu, lokhu kuxhumana okujwayelekile akungifanele; amasiginali we-4 DPI aphuma. Ngenhlanhla, amakamu engeziwe ebhodini anephinikhodi ehambisana neRaspberry. Ngakho-ke ngingakwazi ukuzungezisa ibhodi ngamadigri angu-90 futhi ngiqhubeke nokuyixhuma ku-raspberry wami:

Ukuqapha kwe-HDMI kwesibili ku-Raspberry Pi3 ngesixhumi esibonakalayo se-DPI nebhodi le-FPGA

Yebo, kuzodingeka usebenzise umhleli we-JTAG wangaphandle, kodwa lokhu akuyona inkinga.

Kusenenkinga encane. Akuwona wonke iphinikhodi ye-FPGA engasetshenziswa njengokufakwayo kwewashi. Kukhona izikhonkwane ezimbalwa ezizinikele ezingasetshenziselwa lezi zinhloso. Ngakho-ke kuvele lapha ukuthi isignali ye-GPIO_0 CLK ayifinyeleli okokufaka kwe-FPGA, engasetshenziswa njengokufakwayo kwewashi le-FPGA. Ngakho kwakusadingeka ngifake ucingo olulodwa esikhafuni. Ngixhuma i-GPIO_0 kanye nesignali ye-KEY[1] yebhodi:

Ukuqapha kwe-HDMI kwesibili ku-Raspberry Pi3 ngesixhumi esibonakalayo se-DPI nebhodi le-FPGA

Manje ngizokutshela kancane mayelana nephrojekthi ye-FPGA. Ubunzima obukhulu ekukhiqizeni amasignali e-HDMI amaza aphakeme kakhulu. Uma ubheka iphinikhodi yesixhumi se-HDMI, ungabona ukuthi amasiginali we-RGB manje asengamasiginali wokuhlukanisa we-serial:

Ukuqapha kwe-HDMI kwesibili ku-Raspberry Pi3 ngesixhumi esibonakalayo se-DPI nebhodi le-FPGA

Ukusetshenziswa kwesignali ehlukile kukuvumela ukuthi ulwe nokuphazamiseka kwemodi evamile kumugqa wokudlulisela. Kulokhu, ikhodi yoqobo yamabhithi ayisishiyagalombili yesiginali yombala ngamunye iguqulelwa ku-TMDS engu-10-bit (ukusayinda okuhlukile kwe-Transition-minimized). Lena indlela ekhethekile yokufaka ikhodi yokususa ingxenye ye-DC esignali futhi unciphise ukushintsha kwesignali emugqeni ohlukile. Njengoba amabhithi ayi-10 manje adinga ukudluliselwa phezu komugqa we-serial we-byte eyodwa yombala, kuvela ukuthi isivinini sewashi le-serializer kufanele sibe ngaphezu kwezikhathi eziyi-10 kunejubane lewashi le-pixel. Uma sithatha isibonelo imodi yevidiyo 1280x720 60Hz, khona-ke imvamisa yephikseli yale modi ingu-74,25 MHz. I-serializer kufanele ibe ngu-742,5 MHz.

Ama-FPGA avamile, ngeshwa, awakwazi lokhu. Kodwa-ke, ngenhlanhla yethu, i-FPGA inezikhonkwane ezakhelwe ngaphakathi ze-DDIO. Lezi iziphetho esezivele, njengokungathi, ama-serializers angu-2 kuya ku-1. Okusho ukuthi, bangakhipha amabhithi amabili ngokulandelana emaphethelweni akhuphukayo nawayo efrikhwensi yewashi. Lokhu kusho ukuthi kuphrojekthi ye-FPGA awukwazi ukusebenzisa u-740 MHz, kodwa u-370 MHz, kodwa udinga ukusebenzisa izici zokuphuma kwe-DDIO ku-FPGA. Manje i-370 MHz isivele iyifrikhwensi efinyelelekayo ngokuphelele. Ngeshwa, imodi engu-1280x720 ingumkhawulo. Ukulungiswa okuphezulu ngeke kufinyelelwe ku-Cyclone IV FPGA yethu efakwe ebhodini le-Mars Rover2rpi.

Ngakho-ke, ekwakhiweni, imvamisa ye-pixel yokufaka i-CLK iya ku-PLL, lapho iphindaphindwa khona ngo-5. Kulo mvamisa, amabhayithi e-R, G, B aguqulwa abe ama-bit pair. Yilokhu okwenziwa isifaki khodi se-TMDS. Ikhodi yomthombo ku-Verilog HDL ibukeka kanje:

module hdmi(
	input wire pixclk,		// 74MHz
	input wire clk_TMDS2,	// 370MHz
	input wire hsync,
	input wire vsync,
	input wire active,
	input wire [7:0]red,
	input wire [7:0]green,
	input wire [7:0]blue,
	output wire TMDS_bh,
	output wire TMDS_bl,
	output wire TMDS_gh,
	output wire TMDS_gl,
	output wire TMDS_rh,
	output wire TMDS_rl
);

wire [9:0] TMDS_red, TMDS_green, TMDS_blue;
TMDS_encoder encode_R(.clk(pixclk), .VD(red  ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_red));
TMDS_encoder encode_G(.clk(pixclk), .VD(green), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_green));
TMDS_encoder encode_B(.clk(pixclk), .VD(blue ), .CD({vsync,hsync}), .VDE(active), .TMDS(TMDS_blue));

reg [2:0] TMDS_mod5=0;  // modulus 5 counter
reg [4:0] TMDS_shift_bh=0, TMDS_shift_bl=0;
reg [4:0] TMDS_shift_gh=0, TMDS_shift_gl=0;
reg [4:0] TMDS_shift_rh=0, TMDS_shift_rl=0;

wire [4:0] TMDS_blue_l  = {TMDS_blue[9],TMDS_blue[7],TMDS_blue[5],TMDS_blue[3],TMDS_blue[1]};
wire [4:0] TMDS_blue_h  = {TMDS_blue[8],TMDS_blue[6],TMDS_blue[4],TMDS_blue[2],TMDS_blue[0]};
wire [4:0] TMDS_green_l = {TMDS_green[9],TMDS_green[7],TMDS_green[5],TMDS_green[3],TMDS_green[1]};
wire [4:0] TMDS_green_h = {TMDS_green[8],TMDS_green[6],TMDS_green[4],TMDS_green[2],TMDS_green[0]};
wire [4:0] TMDS_red_l   = {TMDS_red[9],TMDS_red[7],TMDS_red[5],TMDS_red[3],TMDS_red[1]};
wire [4:0] TMDS_red_h   = {TMDS_red[8],TMDS_red[6],TMDS_red[4],TMDS_red[2],TMDS_red[0]};

always @(posedge clk_TMDS2)
begin
	TMDS_shift_bh <= TMDS_mod5[2] ? TMDS_blue_h  : TMDS_shift_bh  [4:1];
	TMDS_shift_bl <= TMDS_mod5[2] ? TMDS_blue_l  : TMDS_shift_bl  [4:1];
	TMDS_shift_gh <= TMDS_mod5[2] ? TMDS_green_h : TMDS_shift_gh  [4:1];
	TMDS_shift_gl <= TMDS_mod5[2] ? TMDS_green_l : TMDS_shift_gl  [4:1];
	TMDS_shift_rh <= TMDS_mod5[2] ? TMDS_red_h   : TMDS_shift_rh  [4:1];
	TMDS_shift_rl <= TMDS_mod5[2] ? TMDS_red_l   : TMDS_shift_rl  [4:1];
	TMDS_mod5 <= (TMDS_mod5[2]) ? 3'd0 : TMDS_mod5+3'd1;
end

assign TMDS_bh = TMDS_shift_bh[0];
assign TMDS_bl = TMDS_shift_bl[0];
assign TMDS_gh = TMDS_shift_gh[0];
assign TMDS_gl = TMDS_shift_gl[0];
assign TMDS_rh = TMDS_shift_rh[0];
assign TMDS_rl = TMDS_shift_rl[0];

endmodule

module TMDS_encoder(
	input clk,
	input [7:0] VD,	// video data (red, green or blue)
	input [1:0] CD,	// control data
	input VDE,  	// video data enable, to choose between CD (when VDE=0) and VD (when VDE=1)
	output reg [9:0] TMDS = 0
);

wire [3:0] Nb1s = VD[0] + VD[1] + VD[2] + VD[3] + VD[4] + VD[5] + VD[6] + VD[7];
wire XNOR = (Nb1s>4'd4) || (Nb1s==4'd4 && VD[0]==1'b0);
wire [8:0] q_m = {~XNOR, q_m[6:0] ^ VD[7:1] ^ {7{XNOR}}, VD[0]};

reg [3:0] balance_acc = 0;
wire [3:0] balance = q_m[0] + q_m[1] + q_m[2] + q_m[3] + q_m[4] + q_m[5] + q_m[6] + q_m[7] - 4'd4;
wire balance_sign_eq = (balance[3] == balance_acc[3]);
wire invert_q_m = (balance==0 || balance_acc==0) ? ~q_m[8] : balance_sign_eq;
wire [3:0] balance_acc_inc = balance - ({q_m[8] ^ ~balance_sign_eq} & ~(balance==0 || balance_acc==0));
wire [3:0] balance_acc_new = invert_q_m ? balance_acc-balance_acc_inc : balance_acc+balance_acc_inc;
wire [9:0] TMDS_data = {invert_q_m, q_m[8], q_m[7:0] ^ {8{invert_q_m}}};
wire [9:0] TMDS_code = CD[1] ? (CD[0] ? 10'b1010101011 : 10'b0101010100) : (CD[0] ? 10'b0010101011 : 10'b1101010100);

always @(posedge clk) TMDS <= VDE ? TMDS_data : TMDS_code;
always @(posedge clk) balance_acc <= VDE ? balance_acc_new : 4'h0;

endmodule

Bese amapheya okukhiphayo anikezwa okukhiphayo kwe-DDIO, okukhiqiza ngokulandelana isignali yebhithi eyodwa emaphethelweni akhuphukayo nawayo.

I-DDIO ngokwayo ingachazwa ngekhodi ye-Verilog elandelayo:

module ddio(
	input wire d0,
	input wire d1,
	input wire clk,
	output wire out
	);

reg r_d0;
reg r_d1;
always @(posedge clk)
begin
	r_d0 <= d0;
	r_d1 <= d1;
end
assign out = clk ? r_d0 : r_d1;
endmodule

Kodwa cishe ngeke kusebenze ngaleyo ndlela. Udinga ukusebenzisa i-megafunction ye-Alter ALTDDIO_OUT ukuze unike amandla ama-elementi okukhiphayo e-DDIO. Iphrojekthi yami isebenzisa ingxenye yelabhulali ye-ALTDDIO_OUT.

Konke lokhu kungase kubonakale kungenangqondo, kodwa kuyasebenza.

Ungabuka yonke ikhodi yomthombo ebhalwe ku-Verilog HDL lapha ku-github.

I-firmware ehlanganisiwe ye-FPGA ikhanyiswe ku-EPCS chip efakwe ebhodini le-Mars Rover2rpi. Ngakho-ke, lapho amandla esetshenziswa ebhodini le-FPGA, i-FPGA izoqaliswa kusuka kumemori ye-flash futhi iqale.

Manje sidinga ukukhuluma kancane mayelana nokucushwa kwe-Raspberry ngokwayo.

Ngenza izivivinyo ku-Raspberry PI OS (32 bit) ngokusekelwe ku-Debian Buster, Inguqulo:August 2020,
Idethi yokukhishwa:2020-08-20, inguqulo ye-Kernel:5.4.

Udinga ukwenza izinto ezimbili:

  • hlela ifayela le-config.txt;
  • dala ukucushwa kweseva ye-X ukuze isebenze neziqaphi ezimbili.

Uma uhlela ifayela /boot/config.txt olidingayo:

  1. khubaza ukusetshenziswa kwe-i2c, i2s, spi;
  2. vula imodi ye-DPI usebenzisa imbondela dtoverlay=dpi24;
  3. lungisa imodi yevidiyo engu-1280Γ—720 60Hz, amabhithi angu-24 ngephikseli ngayinye ku-DPI;
  4. cacisa inombolo edingekayo yamafreyimu 2 (max_framebuffers=2, yilapho kuphela lapho kuzovela idivayisi yesibili /dev/fb1)

Umbhalo ogcwele wefayela le-config.txt ubukeka kanje.

# For more options and information see
# http://rpf.io/configtxt
# Some settings may impact device functionality. See link above for details

# uncomment if you get no picture on HDMI for a default "safe" mode
#hdmi_safe=1

# uncomment this if your display has a black border of unused pixels visible
# and your display can output without overscan
disable_overscan=1

# uncomment the following to adjust overscan. Use positive numbers if console
# goes off screen, and negative if there is too much border
#overscan_left=16
#overscan_right=16
#overscan_top=16
#overscan_bottom=16

# uncomment to force a console size. By default it will be display's size minus
# overscan.
#framebuffer_width=1280
#framebuffer_height=720

# uncomment if hdmi display is not detected and composite is being output
hdmi_force_hotplug=1

# uncomment to force a specific HDMI mode (this will force VGA)
#hdmi_group=1
#hdmi_mode=1

# uncomment to force a HDMI mode rather than DVI. This can make audio work in
# DMT (computer monitor) modes
#hdmi_drive=2

# uncomment to increase signal to HDMI, if you have interference, blanking, or
# no display
#config_hdmi_boost=4

# uncomment for composite PAL
#sdtv_mode=2

#uncomment to overclock the arm. 700 MHz is the default.
#arm_freq=800

# Uncomment some or all of these to enable the optional hardware interfaces
#dtparam=i2c_arm=on
#dtparam=i2s=on
#dtparam=spi=on

dtparam=i2c_arm=off
dtparam=spi=off
dtparam=i2s=off

dtoverlay=dpi24
overscan_left=0
overscan_right=0
overscan_top=0
overscan_bottom=0
framebuffer_width=1280
framebuffer_height=720
display_default_lcd=0
enable_dpi_lcd=1
dpi_group=2
dpi_mode=87
#dpi_group=1
#dpi_mode=4
dpi_output_format=0x6f027
dpi_timings=1280 1 110 40 220 720 1 5 5 20 0 0 0 60 0 74000000 3

# Uncomment this to enable infrared communication.
#dtoverlay=gpio-ir,gpio_pin=17
#dtoverlay=gpio-ir-tx,gpio_pin=18

# Additional overlays and parameters are documented /boot/overlays/README

# Enable audio (loads snd_bcm2835)
dtparam=audio=on

[pi4]
# Enable DRM VC4 V3D driver on top of the dispmanx display stack
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

[all]
#dtoverlay=vc4-fkms-v3d
max_framebuffers=2

Ngemuva kwalokhu, udinga ukudala ifayela lokumisa leseva ye-X ukusebenzisa iziqapha ezimbili kumafreyimu amabili /dev/fb0 kanye /dev/fb1:

Ifayela lami lokumisa /usr/share/x11/xorg.conf.d/60-dualscreen.conf linje

Section "Device"
        Identifier      "LCD"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb0"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Device"
        Identifier      "HDMI"
        Driver          "fbturbo"
        Option          "fbdev" "/dev/fb1"
        Option          "ShadowFB" "off"
        Option          "SwapbuffersWait" "true"
EndSection

Section "Monitor"
        Identifier      "LCD-monitor"
        Option          "Primary" "true"
EndSection

Section "Monitor"
        Identifier      "HDMI-monitor"
        Option          "RightOf" "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen0"
        Device          "LCD"
        Monitor         "LCD-monitor"
EndSection

Section "Screen"
        Identifier      "screen1"
        Device          "HDMI" 
	Monitor         "HDMI-monitor"
EndSection

Section "ServerLayout"
        Identifier      "default"
        Option          "Xinerama" "on"
        Option          "Clone" "off"
        Screen 0        "screen0"
        Screen 1        "screen1" RightOf "screen0"
EndSection

Hhayi-ke, uma ingakafakwa kakade, udinga ukufaka i-Xinerama. Khona-ke isikhala sedeskithophu sizonwetshwa ngokugcwele sibe ngabaqaphi ababili, njengoba kukhonjisiwe kuvidiyo yedemo engenhla.

Cishe yilokho kuphela. Manje, abanikazi be-Raspberry Pi3 bazokwazi ukusebenzisa ama-monitor amabili.

Incazelo kanye nomdwebo wesifunda webhodi le-Mars Rover2rpi ingatholakala bheka lapha.

Source: www.habr.com