Sihloko: izindaba ze-inthanethi

Idivayisi yaseJapan ye-SLIM yaphinde yaphila futhi yathumela isithombe sivela eNyangeni - onjiniyela abaqondi ukuthi yenze kanjani

I-Japan Smart Lander for Investigation Moon (SLIM) ikwazile ukusinda ngobusuku benyanga yesithathu futhi, ngemva kokuqedwa kwayo, yaphinde yathintana ngo-Ephreli 23. Le mpumelelo iyamangalisa ngoba idivayisi ekuqaleni yayingaklanyelwe ukubhekana nezimo ezinzima phakathi nobusuku benyanga, lapho amazinga okushisa e-ambient ehla aze afike ku-170 C°. Umthombo wesithombe: JAXA Umthombo: 3dnews.ru

UHuawei wethule uhlobo lwe-Qiankun lwezinhlelo zokushayela ezihlakaniphile

Inkampani yezobuchwepheshe yaseShayina iHuawei isithathe elinye igxathu elibheke ekubeni ngumdlali omkhulu embonini yezimoto zikagesi ngokwethula uhlobo olusha olubizwa nge-Qiankun, ngaphansi kwalo ezokhiqiza isoftware yokushayela ngobuhlakani. Igama lomkhiqizo omusha lihlanganisa izithombe zesibhakabhaka kanye nezintaba zaseKunlun zaseChina - inkampani izothengisa amasistimu okushayela okuzenzakalelayo, kanye nezilawuli zomsindo nezihlalo zomshayeli, […]

Ukungenisa kwamaseva nezinhlelo zokugcina eRussia ngo-2023 kukhuphuke ngo-10-15%

Ngo-2023, cishe amaseva ayizinkulungwane eziyi-126 angeniswa eRussia, okuyi-10-15% ngaphezu konyaka odlule. Ngakho-ke, njengoba iphephandaba i-Kommersant libika, licaphuna izibalo ezivela ku-Federal Customs Service (FCS), ukuthengwa kwezinto zokusebenza ezivela phesheya kule ngxenye kubuyele cishe ezingeni elibonwe ngo-2021. Ikakhulukazi, njengoba kuphawuliwe, ku- [...]

I-AMD: I-Chiplet Architecture Ku-EPYC Processors Isiza Ukunciphisa Ukukhishwa Kwegesi Ebamba Ukushisa

UJustin Murrill, umqondisi wenkampani ye-AMD, uthe isinqumo senkampani sokusebenzisa i-chiplet architecture kuma-EPYC processors sehlise ukukhishwa kwegesi ebamba ukushisa emhlabeni ngamashumi ezinkulungwane zamathani ngonyaka. I-AMD yaqala ukwethula ama-chiplets eminyakeni eyisikhombisa edlule. Ukusetshenziswa kwe-multi-chip architecture esikhundleni semikhiqizo ye-monolithic kunikeza izinzuzo eziningi. Ikakhulukazi, ukuguquguquka okukhulu kufinyelelwa ekwakhiweni […]

I-Xfce isuka ku-IRC iye ku-Matrix

Ngemva kwesikhathi sesivivinyo sezinyanga ezingu-6, ukuxhumana okusemthethweni kwephrojekthi ye-Xfce kuyasuka ku-IRC kuya ku-Matrix. Iziteshi ze-IRC ezindala zizohlala zivuliwe okwamanje, kodwa iziteshi ze-Matrix manje sezisemthethweni. Ushintsho luthinta iziteshi ezilandelayo: #xfce ku-libera.chat → #xfce:matrix.org #xfce-dev ku-libera.chat → #xfce-dev:matrix.org - ingxoxo yokuthuthukisa #xfce-commits ku-libera.chat → # I-xfce- commits:matrix.org - umsebenzi ophawulekayo we-GitLab Ngaphambilini, ababambiqhaza abaningi be-IRC [...]

I-Tesla robotaxi izobizwa ngokuthi i-Cybercab

Ngokwesiko lakudala lamaNgisi, amatekisi ase-USA nakwamanye amazwe akhuluma isiNgisi ajwayele ukubizwa ngokuthi “ama-cabs” (asuka ku-English cab), ngakho-ke u-Elon Musk akazange axabanise umsebenzi wokuqamba iTesla robotic taxi yesikhathi esizayo, kanye nangekota. ingqungquthela uthe izobizwa nge- "Cybercab". Umthombo wesithombe: TeslaSource: 3dnews.ru

I-SK Hynix izokwakha isitshalo esisha se-semiconductor ngama- $ 4 billion we-Nvidia ukuze ibe nama-chips e-HBM anele.

Omunye wabakhiqizi abakhulu bama-memory chips emhlabeni, inkampani yaseNingizimu Korea i-SK Hynix imemezele ngoLwesithathu ukuthi ihlela ukutshala imali eyizigidi eziyizigidi eziyizinkulungwane ezingama-5,3 (cishe amaRandi ayizigidi eziyizinkulungwane ezingama-3,86) ekwakhiweni kwesitshalo sokukhiqiza inkumbulo ye-DRAM eSouth Korea, kubhala abakwaReuters. Inkampani iphawule ukuthi indawo entsha yokukhiqiza izogxila kakhulu ekukhiqizweni kwama-memory chips e-HBM. Umthombo wesithombe: […]

Izikhungo zedatha ye-Apple zisebenzise ngaphezu kuka-2023 TWh kagesi ngo-2,3

Ukuze inike amandla izikhungo zayo zedatha nezindawo zokuhlanganisa, i-Apple yasebenzisa i-2023 TWh kagesi ngo-2,344. I-Datacenter Dynamics ibika ukuthi inkampani inezikhungo zayo zedatha eziyisikhombisa, kanye nenombolo engaziwa yezindawo zokuhlukaniswa kwezindawo emhlabeni jikelele, ukusetshenziswa kwamandla kokubili okuyi-100% ethengiwe ukuthengwa kwezitifiketi ze-PPA. Kumbiko Wentuthuko Yezemvelo, inkampani ithe isikhungo saseMesa, e-Arizona sikhulu kunazo zonke […]

ihluzo 0.9.2

Kube khona ukukhululwa kokulungisa okungu-0.9.2 komhumushi wekhonsoli kanye nomtapo wolwazi oshumekiwe wolimi lwe-Pluto - okunye ukuqaliswa kolimi lwesi-Lua 5.4 ngezinguquko eziningi kanye nentuthuko ku-syntax, umtapo wolwazi ojwayelekile kanye nomhumushi. Abahlanganyeli bephrojekthi nabo bathuthukisa umtapo wolwazi weSobho. Amaphrojekthi abhalwe nge-C++ futhi asatshalaliswa ngaphansi kwelayisensi ye-MIT. Uhlu lwezinguquko: iphutha lokuhlanganisa elilungisiwe ku-aarch64 architecture; izingcingo ezihleliwe […]

Isistimu yokusebenza ye-RT-Thread 5.1 yesikhathi sangempela ishicilelwe

Ngemva konyaka wokuthuthuka, i-RT-Thread 5.1, isistimu yokusebenza yesikhathi sangempela (RTOS) yamadivayisi we-inthanethi Yezinto, isiyatholakala. Uhlelo lwakhiwe kusukela ngo-2006 ngumphakathi wonjiniyela baseShayina futhi njengamanje lufakwe kumabhodi angu-154, ama-chips kanye nama-microcontrollers asekelwe ku-x86, i-ARM, i-MIPS, i-C-SKY, i-Xtensa, i-ARC ne-RISC-V yezakhiwo. Ukwakhiwa kwe-RT-Thread (Nano) minimalistic kudinga kuphela i-3 KB [...]

Ukukhishwa kwethuluzi lokufihla imininingwane yolwazi nxs-data-anonymizer 1.4.0

I-nxs-data-anonymizer 1.4.0 ishicilelwe - ithuluzi lokufihla i-PostgreSQL kanye nokulahlwa kwesizindalwazi se-MySQL/MariaDB/Percona. Insiza isekela ukungaziwa kwedatha ngokusekelwe kuzifanekiso nemisebenzi yelabhulali ye-Sprig. Phakathi kwezinye izinto, ungasebenzisa amanani amanye amakholomu ukuze ugcwalise irowu efanayo. Kungenzeka ukusebenzisa ithuluzi ngamapayipi angashiwongo emugqeni womyalo futhi uqondise kabusha ukulahlwa kusuka kusizindalwazi somthombo ngqo […]