Ukukhishwa kwe-OpenBSD 7.1

Ukukhishwa kwesistimu yokusebenza yamahhala efana ne-UNIX efana ne-OpenBSD 7.1 kuyethulwa. Iphrojekthi ye-OpenBSD yasungulwa ngu-Theo de Raadt ngo-1995 ngemva kokungqubuzana nabathuthukisi be-NetBSD, okubangele ukuthi u-Theo anqatshelwe ukufinyelela endaweni yokugcina ye-NetBSD CVS. Ngemuva kwalokhu, u-Theo de Raadt kanye neqembu labantu abanomqondo ofanayo bakha uhlelo olusha lokusebenza oluvulekile olusekelwe esihlahleni somthombo we-NetBSD, izinhloso eziyinhloko zokuthuthuka okwakuwukuphatheka (izingxenyekazi ze-hardware eziyi-13 zisekelwa), ukumisa, ukusebenza okulungile, ukuphepha okuqinile. kanye namathuluzi ahlanganisiwe we-cryptographic. Isithombe esigcwele sokufakwa kwe-ISO sesistimu eyisisekelo ye-OpenBSD 7.1 ngu-580 MB.

Ngaphezu kwesistimu yokusebenza ngokwayo, iphrojekthi ye-OpenBSD yaziwa ngezingxenye zayo, eziye zanda kakhulu kwezinye izinhlelo futhi ziye zazibonakalisa njengenye yezixazululo eziphephile nezisezingeni eliphezulu. Phakathi kwazo: I-LibreSSL (imfoloko ye-OpenSSL), i-OpenSSH, isihlungi sephakethe le-PF, i-OpenBGPD ne-OpenOSPFD amadaemoni omzila, iseva ye-OpenNTPD NTP, iseva yeposi ye-OpenSMTPD, i-text terminal multiplexer (efana nesikrini se-GNU) tmux, i-daemon ekhonjiwe esebenzisa iphrothokholi ye-IDENT, enye indlela ye-BSDL Iphakheji ye-GNU groff - i-mandoc, iphrothokholi yokuhlela amasistimu abekezelela amaphutha i-CARP (Iphrothokholi Yekheli Elivamile Elibomvu), iseva engasindi ye-http, insiza yokuvumelanisa ifayela ye-OpenRSYNC.

Ukuthuthukiswa okuyinhloko:

  • Ukusekelwa kwamakhompyutha e-Mac afakwe i-Apple M1 (Apple Silicon) ARM chip, njenge-Apple M1 Pro/Max kanye ne-Apple T2 Macs, kumenyezelwe njengakulungele ukusetshenziswa. Izishayeli ezingeziwe ze-SPI, I2C, isilawuli se-DMA, ikhibhodi, i-touchpad, amandla nokuphathwa kokusebenza. Ihlinzeka ngosekelo lwe-Wi-Fi, GPIO, framebuffer, USB, screen, NVMe drives.
  • Usekelo oluthuthukisiwe lwezakhiwo ze-ARM64. Abashayeli abangeziwe be-gpiocharger, ama-gpioleds nama-gpiokeys, ahlinzeka ngosekelo lwezindleko, izibani nezinkinobho ezixhunywe ku-GPIO (isibonelo, lokhu kwenziwa ku-Pinebook Pro). Kwengezwe abashayeli abasha: mpfclock (Isilawuli sewashi le-PolarFire SoC MSS), cdsdhc (Cadence SD/SDIO/eMMC host controller), mpfiic (PolarFire SoC MSS I2C controller) kanye ne-mpfgpio (PolarFire SoC MSS GPIO).
  • Ukusekelwa okuthuthukisiwe kwezakhiwo ze-RISC-V 64, okufakwe kuzo abashayeli be-uhid ne-fido, nokusekelwa kokufakwa kumadiski e-GPT.
  • Insiza ye-mount_msdos inika amandla ukusetshenziswa kwamagama wamafayela amade ngokuzenzakalelayo.
  • Ikhodi yokuqoqa udoti yamasokhethi e-unix isetshenzwe kabusha.
  • I-sysctl hw.perfpolicy isethwe kokuthi “okuzenzakalelayo” ngokuzenzakalelayo, okusho ukuthi imodi yokusebenza okugcwele ivulwa amandla lapho amandla amile kuxhunyiwe futhi i-algorithm eguquguqukayo isetshenziswa uma inikwa amandla ibhethri.
  • Ukusekelwa okuthuthukisiwe kwezinhlelo ze-multiprocessor (SMP). Izihlungi zomcimbi zamashaneli angashiwongo, i-kqread, umsindo namasokhethi, kanye nendlela ye-BPF, kudluliselwe esigabeni esiphephile se-mp. Izingcingo zesistimu ye-poll, khetha, i-ppoll kanye ne-pselect zibhalwe kabusha futhi manje sezenziwe phezu komugqa. I-kevent, getsockname, getpeername, accept and accept4 system call isusiwe ekuvinjweni. Kwengezwe ukusebenzelana kwe-kernel komthwalo nomsebenzi we-athomu wesitolo, okuvumela ukusetshenziswa kwezinhlobo ze-int nezinde ezicini zezakhiwo lapho ukubalwa kwereferensi kusetshenziswa khona.
  • Ukuqaliswa kohlaka lwe-drm (Direct Rendering Manager) luvunyelaniswa ne-Linux kernel 5.15.26 (ukukhishwa kokugcina - 5.10.65). Umshayeli we-inteldrm wengeze ukusekelwa kwama-Intel chips asuselwa ku-Elkhart Lake, Jasper Lake kanye ne-Rocket Lake microarchitectures. Umshayeli we-amdgpu usekela i-APU/GPU Van Gogh, Rembrandt "Yellow Carp" Ryzen 6000, Navi 22 "Navy Flounder", Navi 23 "Dimgrey Cavefish" kanye ne-Navi 24 "Beige Goby".
  • Ukunikezwa kwefonti ye-Subpixel kunikwe amandla kulabhulali ye-FreeType.
  • Kwengezwe insiza yendlela yangempela ukuze kuboniswe indlela ephelele yefayela.
  • Kwengezwe umyalo othi "ls rogue" kusisetshenziswa se-rcctl ukuze ubonise izinqubo zasemuva ezisebenzayo kodwa ezingafakiwe kokuthi rc.conf.local.
  • I-BPFtrace manje isekela okuguquguqukayo kokuhlolwa. Izikripthi ze-kprofile.bt zokuphrofayilila isitaki se-kernel kanye ne-runqlat.bt yokuhlonza ukubambezeleka kusihleli zengezwe ku-btrace.
  • Kwengezwe usekelo lwe-RFC6840 ku-libc, echaza usekelo lwefulegi le-AD kanye nesilungiselelo 'sokuthembana kwesikhangiso' se-DNSSEC.
  • I-Apm ne-apmd ihlanganisa ukubonisa isikhathi esibikezelwe sokushajwa kabusha kwebhethri.
  • Amandla okugcina imininingwane egciniwe ku-/etc/login.conf.d anikiwe ukuze kube lula ukwengeza amakilasi e-akhawunti yakho kumaphakheji.
  • I-Malloc inikeza ukugcinwa kwesikhashana kwezifunda zenkumbulo ezisuka ku-128k kuya ku-2M.
  • I-pax archive isekela izihloko ezinwetshiwe ezinedatha ye-mtime, i-atime ne-ctime.
  • Kwengezwe inketho ethi "-k" kuzinsiza ze-gzip ne-gunzip ukuze ulondoloze ifayela elingumthombo.
  • Izinketho ezilandelayo zengezwe kunsiza ye-opensync: “-compare-dest” ukuze uhlole ukuba khona kwamafayela kuzinkomba ezengeziwe; “—usayizi omkhulu” kanye “—usayizi omncane” ukuze kukhawulwe usayizi wefayela.
  • Kwengezwe umyalo we-seq ukuze uphrinte ukulandelana kwezinombolo.
  • Ukuqaliswa kwesofthiwe yendawo yonke yemisebenzi ye-trigonometric isusiwe ku-FreeBSD 13 (ukusetshenziswa kwesihlanganisi se-x86 kukhutshaziwe).
  • Ukuqaliswa kokusebenza kwe-lrint, lrintf, llrint kanye ne-llrintf kususiwe ku-FreeBSD (ngaphambilini ukuqaliswa okuvela ku-NetBSD kwakusetshenziswa).
  • Insiza ye-fdisk iqukethe izinguquko eziningi nezilungiso ezihlobene nokusebenza ngama-disk partitions.
  • Kungezwe ukusekelwa kwezingxenyekazi zekhompyutha ezintsha, okuhlanganisa isilawuli se-Intel PCH GPIO (yezinkundla ze-Cannon Lake H ne-Tiger Lake H), i-NXP PCF85063A/TP RTC, i-Synopsys Designware UART, i-Intel 2.5Gb Ethernet, i-SIMCom SIM7600, i-RTL8156B, i-MediaTek MT7601U4387 USB wifiXNUMX wifi
  • Iphakheji ihlanganisa i-firmware elayisensiwe yama-chips angenantambo e-Realtek, ekuvumela ukuthi usebenzise abashayeli be-rsu, rtwn kanye ne-urtwn ngaphandle kokudawuniloda i-firmware ngesandla.
  • Amashayeli e-ixl (Intel Ethernet 700), ix (Intel 82598/82599/X540/X550) kanye ne-aq (Aquantia AQC1xx) ahlanganisa ukusekela ihadiwe ukucutshungulwa komaka be-VLAN nokubala/ukuqinisekiswa kwe-checksum kwe-IPv4, TCP4/6 kanye ne-UDP4/6.
  • Kwengezwe umshayeli womsindo wama-Intel Jasper Lake chips. Kwengezwe usekelo lwesilawuli segeyimu ye-XBox One.
  • Isitaki esingenantambo se-IEEE 802.11 sihlinzeka ngosekelo lwamashaneli angu-40MHz kumodi engu-802.11n kanye nosekelo lokuqala lwezinga le-802.11ac (VHT). Isibambi seskeni sangemuva esingakhethwa sengeziwe kubashayeli. Lapho ukhetha indawo yokufinyelela, amaphuzu anamashaneli angu-5GHz manje anikezwa kuqala, bese kukhethwa amaphuzu aneziteshi ezingu-2GHz.
  • Ukuqaliswa komshayeli we-vxlan kubhalwe kabusha, manje okusebenza ngokuzimela ohlelweni olungaphansi kwebhuloho.
  • Isifaki sisebenze kabusha ingqondo yokubiza insiza ye-pkg_add ukunciphisa ukushuba kokunyakaza kwefayela phakathi nenqubo yokubuyekeza. Ifayela le-install.site libhala inqubo yokufaka nokuthuthukisa. Kuzo zonke izakhiwo, i-firmware yengeziwe, ukusatshalaliswa kwayo kuvunyelwe emikhiqizweni yezinkampani zangaphandle. Ukufaka i-firmware yobunikazi etholakala kumidiya yokufaka, insiza ye-fw_update iyasetshenziswa.
  • Nge-xterm, ukulandelela igundane kukhutshazwa ngokuzenzakalela ngenxa yezizathu zokuphepha.
  • i-usbhidctl kanye ne-usbhidaction ihlinzeka ngokuhlukaniswa kokufinyelela kwesistimu yefayela kusetshenziswa ikholi yesistimu yokuvula.
  • Ngokuzenzakalelayo, i-dhcpd iphinde inikeze okunamathiselwe kwinethiwekhi yokuxhumana esesimweni sokungasebenzi (‘phansi’), ukuze kuqinisekiswe ukuthi amaphakethe atholwa ngokushesha ngemva kokuthi isixhumi esibonakalayo senethiwekhi senziwe sisebenze.
  • I-OpenSMTPD (smtpd) inokuhlola kwe-TLS okunikwe amandla ngokuzenzakalela ekuxhumekeni kwe-"smtps://" kanye ne-"smtp+tls://" ephumayo.
  • I-httpd isebenzise ukuhlola inguqulo yephrothokholi, yengeza amandla okuchaza amafayela ayo ngemibhalo yephutha, kanye nokucutshungulwa okuthuthukisiwe kwedatha ecindezelwe, okuhlanganisa nokwengezwa kwenketho ye-gzip-static ku-httpd.conf yokuletha amafayela acindezelwe ngaphambili ngesethi yefulegi le-gzip. kusihloko sombhalo wekhodi wokuqukethwe.
  • Ku-IPsec, ipharamitha ye-proto evela ku-iked.conf ivumela ukucacisa uhlu lwamaphrothokholi. Kwengezwe umyalo othi "show certinfo" kusisetshenziswa se-ikectl ukuze kuboniswe ama-CA athembekile nezitifiketi. I-iked ithuthukise ukuphathwa kwemilayezo ehlukene.
  • Kungezwe usekelo lokuhlola okhiye basesidlangalaleni be-BGPsec Router kuklayenti le-rpki kanye nokuhlolwa okuthuthukisiwe kwezitifiketi ze-X509. Kwengezwe inqolobane yamafayela aqinisekisiwe. Ukuhambisana okuthuthukisiwe ne-RFC 6488.
  • I-bgpd yengeze ipharamitha "yembobo", engasetshenziswa esigabeni esithi "lalela ku-" kanye "nethi makhelwane" ukuze ibophezele kunombolo yembobo yenethiwekhi engajwayelekile. Ikhodi yenziwe kabusha ukuze isebenze ne-RIB (Isisekelo Solwazi Lomzila), kwenziwa ngeso lokuhlinzeka ngosekelo lwezindlela eziningi esikhathini esizayo.
  • Umphathi wewindi lekhonsoli i-tmux (“i-terminal multiplexer”) ikhulise amandla okukhipha umbala. Kwengezwe ifasitelana-ifomethi yomngcele, imiyalo yombala wekhesa kanye nesitayela sekhesa.
  • I-LibreSSL ithuthe isuka ekusekelweni kwe-OpenSSL ye-RFC 3779 (izandiso ze-X.509 zamakheli e-IP nezinhlelo ezizimele) kanye nendlela yesitifiketi sokubonisa ngale (irekhodi elizimele lomphakathi lazo zonke izitifiketi ezikhishiwe nezihoxisiwe, okwenza kube nokwenzeka ukuhlola ngokuzimela zonke izinguquko nezenzo iziphathimandla zesitifiketi, futhi ikuvumela ukuthi ulandele ngokushesha noma yimiphi imizamo yokudala amarekhodi mbumbulu). Ukuhambisana ne-OpenSSL 1.1 kuthuthukiswe kakhulu futhi amagama e-cipher we-TLSv1.3 afana ne-OpenSSL. Imisebenzi eminingi iguqulelwe ukusebenzisa i-calloc(). Ingxenye enkulu yamakholi amasha yengezwe ku-libssl kanye ne-libcrypto.
  • Kubuyekezwe iphakheji ye-OpenSSH. Ukuze uthole ukwaziswa okwengeziwe okuningiliziwe kokuthuthukiswa, bona ukubuyekezwa kwe-OpenSSH 8.9 kanye ne-OpenSSH 9.0. Insiza ye-scp ihanjiswe ngokuzenzakalelayo ukuze isebenzise i-SFTP esikhundleni sephrothokholi ye-SCP/RCP yefa.
  • Inombolo yamachweba okwakhiwa kwe-AMD64 yayiyi-11301 (kusuka ku-11325), ye-aarch64 - 11081 (kusuka ku-11034), ye-i386 - 10136 (kusuka ku-10248). Phakathi kwezinguqulo zohlelo lokusebenza ezimbobeni: I-Asterisk 16.25.1, 18.11.1 kanye ne-19.3.1 Audacity 2.4.2 CMake 3.20.3 Chromium 100.0.4896.75 Emacs 27.2 FFmpeg 4.4.1 G8.4.0 11.2.0 Go.41.5 .1.17.7 JDK 8u322, 11.0.14 kanye 17.0.2 Izicelo ze-KDE 21.12.2 KDE Frameworks 5.91.0 Krita 5.0.2 LLVM/Clang 13.0.0 LibreOffice 7.3.2.2 Lua 5.1.5 no-Maria 5.2.4. .5.3.6 Mono 10.6.7 Firefox 6.12.0.122 kanye ne-ESR 99.0 Thunderbird 91.8.0 Mutt 91.8.0 kanye ne-NeoMutt 2.2.2 Node.js 20211029 OpenLDAP 16.14.2 PHP 2.4.59 I-PostgreSQL 7.4.28 Python 8.0.17, 8.1.4, 3.5.14 kanye ne-14.2 Qt 2.7.18 kanye ne-3.8.13 R 3.9.12 Ruby 3.10.4, 5.15.2 kanye ne-6.0.4 Rust 4.1.2 kanye no-2.7.5 .3.0.3 Shotcut 3.1.1 Sudo 1.59.0 Suricata 2.8.17 Tcl/Tk 3.38.2 kanye no-21.10.31 TeX Live 1.9.10 Vim 6.0.4 kanye ne-Neovim 8.5.19 Xfce 8.6.8
  • Izingxenye ezibuyekeziwe zezinkampani zangaphandle ezifakwe ne-OpenBSD 7.1:
    • Isitaki sezithombe ze-Xenocara esisekelwe ku-X.Org 7.7 ene-xserver 1.21.1 + amapeshi, i-freetype 2.11.0, fontconfig 2.12.94, Mesa 21.3.7, xterm 369, xkeyboard-config 2.20, fonttosfnt 1.2.2.
    • I-LLVM/Clang 13.0.0 (+ iziqephu)
    • I-GCC 4.2.1 (+ iziqephu) kanye no-3.3.6 (+ iziqephu)
    • I-Perl 5.32.1 (+ iziqephu)
    • I-NSD 4.4.0
    • Ukukhulula 1.15.0
    • Abahlengikazi 5.7
    • I-Binutils 2.17 (+ iziqephu)
    • I-Gdb 6.3 (+ ipheshana)
    • Awk 12.10.2021/XNUMX/XNUMX
    • Expat 2.4.7

Source: opennet.ru

Engeza amazwana