Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation

Pagpadayon sa tema "Unsa ang imong ebidensya?", atong tan-awon ang problema sa mathematical modeling gikan sa pikas bahin. Human kita kombinsido nga ang modelo katumbas sa tinuod nga kamatuoran sa kinabuhi, atong matubag ang pangunang pangutana: β€œunsa, eksakto, ang ania kanato dinhi?” Kung maghimo usa ka modelo sa usa ka teknikal nga butang, kasagaran gusto namon nga sigurohon nga kini nga butang makatagbo sa among mga gilauman. Alang niini nga katuyoan, ang mga dinamikong kalkulasyon sa mga proseso gihimo ug ang resulta gitandi sa mga kinahanglanon. Kini usa ka digital twin, usa ka virtual nga prototype, ug uban pa. uso nga gagmay nga mga lalaki nga, sa yugto sa disenyo, nagsulbad sa problema kung unsaon pagsiguro nga makuha namon ang among giplano.

Unsaon man dayon nato pagsiguro nga ang atong sistema mao gyud ang atong gidesinyo, molupad ba o molutaw ang atong desinyo? Ug kung kini molupad, unsa ka taas? Ug kung kini molutaw, unsa ka lawom?

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation

Gihisgutan sa kini nga artikulo ang automation sa pag-verify sa pagsunod sa mga kinahanglanon sa usa ka teknikal nga bilding kung nagmugna mga dinamikong modelo sa mga teknikal nga sistema. Ingon usa ka pananglitan, atong tan-awon ang usa ka elemento sa teknikal nga detalye alang sa usa ka sistema sa pagpabugnaw sa hangin sa ayroplano.

Among gikonsiderar ang mga kinahanglanon nga mahimong ipahayag sa numero ug mapamatud-an nga mathematically base sa usa ka piho nga modelo sa kalkulasyon. Kini mao ang tin-aw nga kini mao lamang ang bahin sa kinatibuk-ang mga kinahanglanon alang sa bisan unsa nga teknikal nga sistema, apan kini mao ang sa pagsusi kanila nga kita mogahin og panahon, nerbiyos ug salapi sa paghimo sa dinamikong mga modelo sa butang.

Kung naghubit sa mga teknikal nga kinahanglanon sa porma sa usa ka dokumento, daghang mga lahi sa lainlaing mga kinahanglanon ang mahimong mailhan, nga ang matag usa nanginahanglan lainlaing mga pamaagi alang sa pagporma sa awtomatik nga pag-verify sa katumanan sa mga kinahanglanon.

Pananglitan, tagda kining gamay apan realistiko nga hugpong sa mga kinahanglanon:

  1. Ang temperatura sa hangin sa atmospera sa entrada sa sistema sa pagtambal sa tubig:
    sa parkinganan - gikan sa minus 35 hangtod 35 ΒΊΠ‘,
    sa paglupad - gikan sa minus 35 hangtod 39 ΒΊΠ‘.
  2. Ang static nga presyur sa hangin sa atmospera sa paglupad gikan sa 700 hangtod 1013 GPa (gikan sa 526 hangtod 760 mm Hg).
  3. Ang kinatibuk-ang presyur sa hangin sa entrada sa SVO air intake sa paglupad gikan sa 754 hangtod 1200 GPa (gikan sa 566 hangtod 1050 mm Hg).
  4. Pagpabugnaw sa temperatura sa hangin:
    sa parkinganan - dili molapas sa 27 ΒΊΠ‘, alang sa teknikal nga mga bloke - dili molapas sa 29 ΒΊΠ‘,
    sa paglupad - dili molapas sa 25 ΒΊΠ‘, alang sa teknikal nga mga bloke - dili molapas sa 27 ΒΊΠ‘.
  5. Pagpabugnaw sa agos sa hangin:
    kung giparking - labing menos 708 kg / h,
    sa paglupad - dili moubos sa 660 kg/h.
  6. Ang temperatura sa hangin sa mga kompartamento sa instrumento dili molapas sa 60 ΒΊΠ‘.
  7. Ang gidaghanon sa maayo nga libre nga kaumog sa makapabugnaw nga hangin dili molapas sa 2 g/kg sa uga nga hangin.

Bisan sa sulod niining limitado nga hugpong sa mga kinahanglanon, adunay labing menos duha ka mga kategorya nga kinahanglan nga dumalahon nga lahi sa sistema:

  • mga kinahanglanon alang sa mga kondisyon sa pag-operate sa sistema (mga clause 1-3);
  • parametric nga mga kinahanglanon alang sa sistema (mga clause 3-7).

Mga kinahanglanon sa kondisyon sa operating system
Ang mga kondisyon sa gawas alang sa sistema nga gipalambo sa panahon sa pagmodelo mahimong matino ingon mga kondisyon sa utlanan o ingon usa ka sangputanan sa operasyon sa kinatibuk-ang sistema.
Sa dinamikong simulation, gikinahanglan aron masiguro nga ang gitakda nga mga kondisyon sa pag-opera nasakup sa proseso sa simulation.

Mga kinahanglanon sa parametric nga sistema
Kini nga mga kinahanglanon mao ang mga parameter nga gihatag sa sistema mismo. Atol sa proseso sa pagmodelo, makuha nato kini nga mga parameter isip mga resulta sa pagkalkula ug pagsiguro nga ang mga kinahanglanon matuman sa matag piho nga kalkulasyon.

Pag-ila ug coding sa mga kinahanglanon

Alang sa kasayon ​​​​sa pagtrabaho uban sa mga kinahanglanon, ang kasamtangan nga mga sumbanan nagrekomendar sa paghatag og usa ka identifier sa matag kinahanglanon. Kung nag-assign sa mga identifier, labi nga gitinguha nga mogamit usa ka hiniusa nga sistema sa coding.

Ang usa ka kinahanglanon nga code mahimo nga usa ka numero nga nagrepresentar sa order nga numero sa kinahanglanon, o kini mahimo nga adunay usa ka code alang sa klase sa kinahanglanon, usa ka code alang sa sistema o yunit diin kini magamit, usa ka parameter code, usa ka code sa lokasyon, ug bisan unsa nga mahunahuna sa usa ka engineer. (tan-awa ang artikulo para sa paggamit sa encoding)

Ang Talaan 1 naghatag og usa ka yano nga pananglitan sa mga kinahanglanon nga coding.

  1. code sa tinubdan sa mga kinahanglanon R-requirements TK;
  2. matang sa code sa mga kinahanglanon E - mga kinahanglanon - mga parameter sa kalikopan, o mga kondisyon sa pag-operate
    S - mga kinahanglanon nga gihatag sa sistema;
  3. status code sa eroplano 0 - bisan unsa, G - giparking, F - sa paglupad;
  4. pisikal nga parameter type code T - temperatura, P - pressure, G - flow rate, humidity H;
  5. serial number sa gikinahanglan.

ID
mga kinahanglanon
paghulagway Parameter
REGT01 Ang temperatura sa hangin sa palibot sa entrada sa sistema sa pagpabugnaw sa tubig: sa parkinganan - gikan sa minus 35ΒΊΠ‘. hangtod sa 35ΒΊΠ‘.
REFT01 Ang temperatura sa hangin sa atmospera sa entrada sa sistema sa depensa sa hangin: sa paglupad - gikan sa minus 35 ΒΊΠ‘ hangtod sa 39 ΒΊΠ‘.
REFP01 Ang static nga presyur sa hangin sa atmospera sa paglupad gikan sa 700 hangtod 1013 hPa (gikan sa 526 hangtod 760 mm Hg).
REFP02 Ang kinatibuk-ang presyur sa hangin sa entrada sa SVO air intake sa paglupad gikan sa 754 hangtod 1200 hPa (gikan sa 566 hangtod 1050 mm Hg).
RSGT01 Ang makapabugnaw nga temperatura sa hangin: kung giparking dili molapas sa 27 ΒΊΠ‘
RSGT02 Ang makapabugnaw nga temperatura sa hangin: sa parkinganan, alang sa mga teknikal nga yunit nga dili molapas sa 29 ΒΊΠ‘
RSFT01 Ang makapabugnaw nga temperatura sa hangin sa paglupad dili molapas sa 25 ΒΊΠ‘
RSFT02 Ang makapabugnaw nga temperatura sa hangin: sa paglupad, alang sa teknikal nga mga yunit nga dili molapas sa 27 ΒΊΠ‘
RSGG01 Pagpabugnaw sa agos sa hangin: kung giparking dili moubos sa 708 kg/h
RSFG01 Pagpabugnaw sa agos sa hangin: sa paglupad nga dili moubos sa 660 kg/h
RS0T01 Ang temperatura sa hangin sa mga compartment sa instrumento dili molapas sa 60 ΒΊΠ‘
RSH01 Ang gidaghanon sa maayo nga libre nga kaumog sa makapabugnaw nga hangin dili molapas sa 2 g/kg sa uga nga hangin

Mga kinahanglanon nga disenyo sa sistema sa pag-verify.

Alang sa matag kinahanglanon sa disenyo adunay usa ka algorithm alang sa pagtimbang-timbang sa mga sulat sa mga parameter sa disenyo ug sa mga parameter nga gipiho sa gikinahanglan. Sa kinatibuk-an, ang bisan unsang sistema sa pagkontrol kanunay adunay mga algorithm alang sa pagsusi sa mga kinahanglanon pinaagi lamang sa default. Ug bisan ang bisan unsang regulator naglangkob niini. Kung ang temperatura molapas sa mga limitasyon, ang air conditioner mo-on. Sa ingon, ang una nga yugto sa bisan unsang regulasyon mao ang pagsusi kung ang mga parameter nagtagbo sa mga kinahanglanon.

Ug tungod kay ang pag-verify usa ka algorithm, nan magamit namon ang parehas nga mga himan ug mga himan nga among gigamit sa paghimo og mga programa sa pagkontrol. Pananglitan, ang SimInTech nga palibot nagtugot kanimo sa paghimo sa mga pakete sa proyekto nga adunay lainlaing mga bahin sa modelo, nga gipatuman sa porma sa bulag nga mga proyekto (modelo sa butang, modelo sa sistema sa pagkontrol, modelo sa palibot, ug uban pa).

Ang mga kinahanglanon nga proyekto sa pag-verify sa kini nga kaso nahimo nga parehas nga proyekto sa algorithm ug konektado sa modelo nga pakete. Ug sa dinamikong mode sa pagmodelo naghimo kini usa ka pagtuki alang sa pagsunod sa mga kinahanglanon sa teknikal nga mga detalye.

Usa ka posible nga pananglitan sa usa ka disenyo sa sistema gipakita sa Figure 1.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 1. Pananglitan sa disenyo sa usa ka proyekto sa pag-verify.

Sama sa para sa mga algorithm sa pagkontrol, ang mga kinahanglanon mahimong mabuhat ingon usa ka hugpong sa mga sheet. Alang sa kasayon ​​​​sa pagtrabaho uban sa mga algorithm sa structural modeling environment sama sa SimInTech, Simulink, AmeSim, ang abilidad sa paghimo sa multi-level nga mga istruktura sa porma sa mga submodel gigamit. Kini nga organisasyon nagpaposible sa pag-grupo sa lain-laing mga kinahanglanon ngadto sa mga set aron pasimplehon ang trabaho nga adunay usa ka han-ay sa mga kinahanglanon, sama sa gibuhat alang sa pagkontrol sa mga algorithm (tan-awa ang Fig. 2).

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 2. Hierarchical nga istruktura sa modelo sa pag-verify sa mga kinahanglanon.

Pananglitan, sa kaso nga gikonsiderar, duha ka grupo ang gipalahi: mga kinahanglanon alang sa kalikopan ug mga kinahanglanon nga direkta alang sa sistema. Busa, ang duha ka lebel nga istruktura sa datos gigamit: duha ka grupo, ang matag usa usa ka dahon sa algorithm.

Aron makonektar ang datos sa modelo, usa ka sumbanan nga pamaagi alang sa pagmugna og signal database ang gigamit, nga nagtipig sa datos alang sa pagbinayloay tali sa mga bahin sa proyekto.

Kung nagmugna ug nagsulay sa software, ang mga pagbasa sa mga sensor (mga analog sa tinuod nga mga sensor sa sistema) nga gigamit sa control system gibutang sa kini nga database.
Alang sa usa ka proyekto sa pagsulay, ang bisan unsang mga parameter nga gikalkula sa dinamikong modelo mahimong tipigan sa parehas nga database ug sa ingon gigamit aron masusi kung natuman ba ang mga kinahanglanon.

Sa kini nga kaso, ang dinamikong modelo mismo mahimong ipatuman sa bisan unsang mathematical modeling system o bisan sa porma sa usa ka executable nga programa. Ang bugtong kinahanglanon mao ang presensya sa mga interface sa software alang sa pag-isyu sa data sa pagmodelo sa gawas nga palibot.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 3. Pagkonektar sa proyekto sa pag-verify sa komplikado nga modelo.

Usa ka pananglitan sa usa ka batakang kinahanglanon nga verification sheet gipresentar sa Figure 4. Gikan sa punto sa developer sa panglantaw, kini mao ang usa ka conventional kalkulasyon diagram diin ang gikinahanglan verification algorithm gipresentar graphically.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 4. Mga kinahanglanon nga check sheet.

Ang mga nag-unang bahin sa check sheet gihulagway sa Figure 5. Ang check algorithm giporma nga susama sa design diagrams sa control algorithms. Sa tuo nga bahin adunay usa ka bloke alang sa pagbasa sa mga signal gikan sa database. Kini nga block nag-access sa database sa signal sa panahon sa simulation.

Ang nadawat nga mga signal gi-analisa aron makalkulo ang mga kondisyon sa pag-verify sa mga kinahanglanon. Sa kini nga kaso, ang pagtuki sa kahitas-an gihimo aron mahibal-an ang posisyon sa ayroplano (kon kini giparking o sa paglupad). Alang niini nga katuyoan, mahimo nimong gamiton ang ubang mga signal ug kalkulado nga mga parameter sa modelo.

Ang mga kondisyon sa pag-verify ug mga parameter nga gisusi gibalhin sa mga standard nga bloke sa pag-verify, diin kini nga mga parameter gi-analisa alang sa pagsunod sa gitakda nga mga kinahanglanon. Ang mga resulta girekord sa database sa signal sa paagi nga kini magamit sa awtomatik nga pagmugna og checklist.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 5. Structure sa mga kinahanglanon verification calculation sheet.

Ang mga parameter nga sulayan dili kinahanglan nga mogamit mga signal nga naa sa database, nga gikontrol sa mga parameter nga gikalkula sa panahon sa proseso sa simulation. Walay makapugong kanamo sa paghimo og dugang nga mga kalkulasyon sulod sa gambalay sa draft nga mga kinahanglanon, sama sa among pagkalkulo sa mga kondisyon sa pag-verify.

Pananglitan, kini nga kinahanglanon:

Ang gidaghanon sa mga pagpaaktibo sa sistema sa pagtul-id sa panahon sa paglupad ngadto sa target kinahanglan dili molapas sa 5, ug ang kinatibuk-ang oras sa pag-operate sa sistema sa pagtul-id kinahanglan dili molapas sa 30 segundos.

Sa kini nga kaso, usa ka algorithm alang sa pag-counter sa gidaghanon sa mga pagsugod ug kinatibuk-ang oras sa pag-opera gidugang sa diagram sa disenyo sa mga kinahanglanon.

Kasagaran nga mga kinahanglanon verification block.

Ang matag standard nga kinahanglanon nga check box gidisenyo aron makalkulo ang katumanan sa usa ka kinahanglanon sa usa ka matang. Pananglitan, ang mga kinahanglanon sa kalikopan naglakip sa usa ka lainlain nga temperatura sa pag-operate sa palibot kung giparking ug sa paglupad. Ang kini nga bloke kinahanglan nga makadawat sa temperatura sa hangin sa modelo ingon usa ka parameter ug mahibal-an kung kini nga parameter naglangkob sa gitakda nga range sa temperatura./p>

Ang block adunay duha ka input port, param ug kondisyon.

Ang una gipakaon sa parameter nga gisusi. Sa kini nga kaso, "External temperature".

Usa ka Boolean variable ang gihatag sa ikaduhang pantalan - ang kondisyon alang sa pagpahigayon sa tseke.

Kung ang TINUOD (1) madawat sa ikaduha nga input, nan ang block naghimo sa usa ka kinahanglanon nga pagkalkula sa pag-verify.

Kung ang ikaduha nga input makadawat FALSE (0), nan ang mga kondisyon sa pagsulay wala matuman. Kini gikinahanglan aron ang mga kondisyon sa pagkalkula mahimong makonsiderar. Sa among kaso, kini nga input gigamit aron mahimo o ma-disable ang tseke depende sa kahimtang sa modelo. Kung ang ayroplano naa sa yuta sa panahon sa simulation, nan ang mga kinahanglanon nga may kalabutan sa paglupad wala gisusi, ug vice versa - kung ang ayroplano naa sa paglupad, nan ang mga kinahanglanon nga may kalabutan sa operasyon sa stand wala gisusi.

Kini nga input mahimo usab nga gamiton sa pag-set up sa modelo, pananglitan sa inisyal nga yugto sa kalkulasyon. Kung ang modelo gidala sa gikinahanglan nga kahimtang, ang mga bloke sa tseke gi-disable, apan sa diha nga ang sistema makaabut sa gikinahanglan nga operating mode, ang mga bloke sa tseke gi-on.

Ang mga parameter niini nga block mao ang:

  • mga kondisyon sa utlanan: taas (UpLimit) ug ubos (DownLimit) mga limitasyon sa range nga kinahanglang susihon;
  • gikinahanglan nga oras sa pagkaladlad sa sistema sa mga utlanan (TimeInterval) sa mga segundo;
  • Pangayo ID ReqName;
  • permisibility sa pagsobra sa range Out_range kay usa ka Boolean variable nga nagdeterminar kung ang usa ka value nga labaw sa checked range kay usa ka paglapas sa kinahanglanon.

Sa pipila ka mga kaso, ang output sa bili sa pagsulay nagpakita nga ang sistema adunay pipila ka margin ug mahimong naglihok sa gawas sa operating range niini. Sa ubang mga kaso, ang usa ka output nagpasabot nga ang sistema dili makahimo sa pagpabilin sa mga setpoint sulod sa range.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 6. Usa ka tipikal nga property check block sa diagram ug ang mga parameter niini.

Ingon usa ka sangputanan sa pagkalkula sa kini nga bloke, ang variable nga Resulta naporma sa output, nga nagkuha sa mga mosunud nga kantidad:

  • 0 - rWala, wala gihubit ang kantidad;
  • 1 - rDone, ang kinahanglanon natuman;
  • 2 - rFault, ang kinahanglanon wala matuman.

Ang block nga hulagway naglangkob sa:

  • identifier nga teksto;
  • digital nga pagpakita sa mga limitasyon sa pagsukod nga mga parameter;
  • color identifier sa kahimtang sa parameter.

Sa sulod sa block mahimong adunay usa ka komplikado nga lohikal nga inference circuit.

Pananglitan, aron masusi ang operating temperature range sa unit nga gipakita sa Figure 6, ang internal circuit gipakita sa Figure 7.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 7. Internal nga diagram sa temperatura range determination unit.

Sa sulod sa circuit block, gigamit ang mga kabtangan nga gitakda sa mga parameter sa block.
Dugang sa pag-analisar sa pagsunod sa mga kinahanglanon, ang internal nga diagram sa block naglangkob sa usa ka graph nga gikinahanglan alang sa pagpakita sa mga resulta sa simulation. Kini nga graph mahimong gamiton alang sa pagtan-aw sa panahon sa kalkulasyon ug sa pag-analisar sa mga resulta human sa kalkulasyon.

Ang mga resulta sa kalkulasyon gipasa ngadto sa output sa block ug dungan nga girekord sa usa ka kinatibuk-ang report file, nga gimugna base sa mga resulta alang sa tibuok nga proyekto. (tan-awa ang Fig. 8)

Usa ka pananglitan sa usa ka report nga gihimo base sa mga resulta sa simulation mao ang usa ka html file nga gihimo sumala sa gihatag nga format. Ang format mahimong arbitraryong i-configure sa format nga gidawat sa usa ka partikular nga organisasyon.

Sa sulod sa circuit block, gigamit ang mga kabtangan nga gitakda sa mga parameter sa block.
Dugang sa pag-analisar sa pagsunod sa mga kinahanglanon, ang internal nga diagram sa block naglangkob sa usa ka graph nga gikinahanglan alang sa pagpakita sa mga resulta sa simulation. Kini nga graph mahimong gamiton alang sa pagtan-aw sa panahon sa kalkulasyon ug sa pag-analisar sa mga resulta human sa kalkulasyon.

Ang mga resulta sa kalkulasyon gipasa ngadto sa output sa block ug dungan nga girekord sa usa ka kinatibuk-ang report file, nga gimugna base sa mga resulta alang sa tibuok nga proyekto. (tan-awa ang Fig. 8)

Usa ka pananglitan sa usa ka report nga gihimo base sa mga resulta sa simulation mao ang usa ka html file nga gihimo sumala sa gihatag nga format. Ang format mahimong arbitraryong i-configure sa format nga gidawat sa usa ka partikular nga organisasyon.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 8. Ehemplo sa report file base sa resulta sa simulation.

Niini nga pananglitan, ang porma sa report direkta nga gi-configure sa mga kabtangan sa proyekto, ug ang format sa lamesa gitakda isip mga signal sa global nga proyekto. Sa kini nga kaso, ang SimInTech mismo nagsulbad sa problema sa pag-set up sa report, ug ang block alang sa pagsulat sa mga resulta sa usa ka file naggamit niini nga mga linya sa pagsulat sa report file.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 9. Pagbutang sa format sa report sa mga signal sa global nga proyekto

Paggamit sa usa ka database sa signal alang sa mga kinahanglanon.

Aron ma-automate ang pagtrabaho sa mga setting sa kabtangan, usa ka sumbanan nga istruktura ang gihimo sa database sa signal alang sa matag tipikal nga bloke. (tan-awa ang Fig. 10)

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 10. Panig-ingnan sa istruktura sa usa ka kinahanglanon check block sa usa ka signal database.

Ang database sa signal naghatag:

  • Pagtipig sa tanan nga gikinahanglan nga mga parameter sa kinahanglanon sa sistema.
  • Sayon nga pagtan-aw sa kasamtangan nga mga kinahanglanon sa proyekto gikan sa piho nga mga parameter ug kasamtangan nga resulta sa pagmodelo.
  • Pag-set up og usa ka block o grupo sa mga block gamit ang scripting programming language. Ang mga pagbag-o sa database sa signal nagdala sa mga pagbag-o sa mga kantidad sa block property sa diagram.
  • Pagtipig sa mga deskripsyon sa teksto, mga link sa mga butang sa teknikal nga detalye o mga identifier sa sistema sa pagdumala sa mga kinahanglanon.

Ang mga istruktura sa database sa signal alang sa mga kinahanglanon dali nga ma-configure aron magtrabaho sa usa ka sistema sa pagdumala sa mga kinahanglanon sa ikatulo nga partido.Ang usa ka kinatibuk-ang diagram sa pakig-uban sa mga sistema sa pagdumala sa mga kinahanglanon gipresentar sa Figure 11.

Awtomatikong pag-verify sa mga kinahanglanon sa TOR sa proseso sa dinamikong simulation
Figure 11. Diagram sa interaksyon sa sistema sa pagdumala sa mga kinahanglanon.

Ang han-ay sa interaksyon tali sa SimInTech nga proyekto sa pagsulay ug ang gikinahanglan nga sistema sa pagkontrol mao ang mosunod:

  1. Ang mga termino sa pakisayran gibahin sa mga kinahanglanon.
  2. Ang mga kinahanglanon sa teknikal nga mga detalye giila nga mahimong mapamatud-an pinaagi sa pagmodelo sa matematika sa mga proseso sa teknikal.
  3. Ang mga hiyas sa pinili nga mga kinahanglanon gibalhin ngadto sa SimInTech signal database sa istruktura sa standard blocks (pananglitan, maximum ug minimum nga temperatura).
  4. Atol sa proseso sa pagkalkula, ang datos sa istruktura gibalhin aron babagan ang mga diagram sa disenyo, gihimo ang pag-analisar ug ang mga resulta gitipigan sa usa ka database sa signal.
  5. Kung kompleto na ang kalkulasyon, ang mga resulta sa pag-analisa ibalhin sa sistema sa pagdumala sa mga kinahanglanon.

Ang mga kinahanglanon nga lakang 3 hangtod 5 mahimong balikon sa panahon sa proseso sa pagdesinyo kung adunay mga pagbag-o sa disenyo ug/o mga kinahanglanon ug ang epekto sa mga pagbag-o kinahanglan nga sulayan pag-usab.

Mga konklusyon.

  • Ang gihimo nga prototype sa sistema naghatag usa ka hinungdanon nga pagkunhod sa oras sa pag-analisar sa mga naa na nga mga modelo alang sa pagsunod sa mga kinahanglanon sa teknikal nga mga detalye.
  • Ang gisugyot nga teknolohiya sa pagsulay naggamit na sa naglungtad na nga dinamikong mga modelo ug mahimong magamit bisan sa bisan unsang dinamikong mga modelo, lakip ang wala gihimo sa palibot sa SimInTech.
  • Ang paggamit sa organisasyon sa datos sa batch nagtugot kanimo sa paghimo sa mga kinahanglanon nga mga pakete sa pag-verify nga parehas sa pag-uswag sa modelo, o bisan ang paggamit niini nga mga pakete ingon mga teknikal nga detalye alang sa pag-uswag sa modelo.
  • Ang teknolohiya mahimong i-integrate sa naglungtad nga mga sistema sa pagdumala sa mga kinahanglanon nga wala’y daghang gasto.

Para sa mga nagbasa hangtod sa katapusan, link sa usa ka video nga nagpakita kung giunsa ang prototype molihok.

Source: www.habr.com

Idugang sa usa ka comment