ARIES PLC110[M02] -MS4, HMI, OPC agus SCADA, no dè an ìre de thì Chamomile a dh’ fheumas duine. Pàirt 1

Feasgar math, luchd-leughaidh an artaigil seo. Tha mi a’ sgrìobhadh seo ann an cruth lèirmheas.

Rabhadh beagBu mhath leam rabhadh a thoirt dhut ma thuig thu sa bhad na tha sinn a’ bruidhinn bhon tiotal, tha mi a ’toirt comhairle dhut a’ chiad phuing atharrachadh (gu dearbh, cridhe PLC) gu rud sam bith bho roinn prìsean aon cheum nas àirde.
Chan fhiach airgead sam bith a shàbhaladh cho neònach, gu pearsanta.

Dhaibhsan aig nach eil eagal air beagan falt liath agus meud tic nearbhach, nas fhaide air adhart bheir mi cunntas mionaideach air mar a chaidh am mìorbhail teicneòlais seo a chruthachadh. Tha an artaigil seo a’ toirt seachad mion-sgrùdadh goirid air a’ phròiseact le tomhas sònraichte de chàineadh.

Tùs. Cruthachadh na trioblaid

Gu fìrinneach, tha mi ag obair ann am biùro dealbhaidh, agus bidh sinn a’ dèanamh deuchainn air uidheamachd fèin-ghluasaid airson amalachadh a-steach do na factaraidhean turnkey againn. O chionn ghoirid, ràinig uidheamachd OWEN an taigh-bathair agus chaidh co-dhùnadh being deuchainn a chruinneachadh bhuaithe:

  • PLC110[M02]-MS4 (àrainneachd ghnìomhach MasterSCADA 4D)
  • Pannal gnìomhaiche SP307
  • Modal cuir a-steach comharran analog uile-choitcheann МВ110-224.2А
  • Modal cuir a-steach comharra tomhais strain MV110-4TD
  • Modal tomhais dealain MV110-220.3M

Structar siostam a thaghadh le eadar-dhealachadh lìonraidhean a rèir adhbhar:

  1. Modbus RTU stèidhichte air RS-485 - conaltradh eadar an PLC agus innealan tràillean (modalan, tionndadh tricead, mothachaidhean snasail, pannal HMI SP307), maighstir lìonra PLC.
  2. Modbus TCP stèidhichte air Ethernet - Conaltradh diofar PLCan le chèile agus leis an fhrithealaiche OPC
  3. Tha frithealaiche PC siostam OPC agus SCADA aig an aon àm na gheata eadar dà lìonra eadar-dhealaichte (LAN corporra den iomairt agus lìonra luchd-riaghlaidh Modbus TCP (dà inneal-atharrachaidh lìonra le slighe dàta a’ cleachdadh innealan àbhaisteach Windows)
  4. Tha cothrom aig an LAN corporra air an eadar-lìon tro fhrithealaiche progsaidh

Tha structar coitcheann an t-siostaim air a shealltainn san ìomhaigh gu h-ìosal:

ARIES PLC110[M02] -MS4, HMI, OPC agus SCADA, no dè an ìre de thì Chamomile a dh’ fheumas duine. Pàirt 1

Gnìomhachd togte

  • Cruinneachadh agus ath-stiùireadh dàta bhon PLC gu frithealaiche OPC
  • Smachd agus sgrùdadh ionadail tro phannal HMI
  • Smachd agus sgrùdadh bho SCADA tro fhrithealaiche OPC
  • Smachd bho PC sam bith bhon LAN iomairt agus tron ​​​​eadar-lìn a’ cleachdadh teachdaiche SCADA
  • A’ ceangal sgrùdairean OPC gluasadach tro LAN agus eadar-lìn
  • Gu dearbh, gineadh tasglann agus aithris

Tha e coltach nach deach dad a chall. Tha tuairisgeul coitcheann air an t-siostam, agus a-nis, gu dearbh, air a 'chuspair (bidh mi a' toirt cunntas air dòighean cur às ann an artaigilean le gnìomhachadh gach nód):

Duilgheadasan a thachair

1. Sgrìobhainnean PLC

Chaidh deuchainn beta den PLC dearbhte air cridhe MasterSCADA 4D a chomharrachadh leis an neach-dèanamh ann an 2012. A dh’ aindeoin beatha cho drùidhteach sa bhun-bheachd, chan eil aig an leasaiche ach ann an 2019 leabhar-làimhe prògramadh de 28 duilleag (!?), air a bheil beagan nas lugha na gun fhiosrachadh feumail, agus tha dealbhan-sgrìn san leabhar-làimhe bho MasterSCADA 3D, a tha gu math èibhinn le bhith a 'gabhail a-steach gu bheil an eadar-aghaidh air atharrachadh.

Tha snàithlean fòram de 20 cuspair cuideachd a’ faighinn taic ghnìomhach bho thriùir luchd-leantainn agus manaidsear reic.

2. Ailtireachd mhodalan PLC

Is e cuspair air leth a tha seo airson deasbad. Gu h-aithghearr: bidh an PLC a ’conaltradh ris na modalan mar innealan tràillean Modbus RTU, a dh’ fheumas a bhith air an rèiteachadh leis a ’ghoireas air leth le bhith a’ ceangal gach fear ri PC tro inneal-tionndaidh RS-485.

Is dòcha gu bheil fios aig daoine glic, gu dearbh, mar a nì iad seo às aonais inneal-tionndaidh tro PLC, a ’ceangal mhodalan gu sreath ris an lìonra agus a’ sgrìobhadh na clàran riatanach, ach thig seo le eòlas agus tòrr pian.

Airson leasaiche a tha a’ faicinn ailtireachd mar seo airson a’ chiad uair, chan eil e idir furasta a chleachdadh.
Cuideachd, is toil le a h-uile modal analog fàiligeadh airson adhbharan neo-aithnichte, a ’toirt leotha an lìonra RS-485 gu lèir ann an Terra Incognita, ach tha mi cuideachd airson bruidhinn mu dheidhinn seo air leth, fìor mhòr, gu dearbh. Tha an duilgheadas, co-dhiù, 10 bliadhna a dh'aois, tha an neach-dèanamh a 'gàireachdainn “Feumaidh sinn aideachadh nach do dh’ obraich na teamplaidean dhuinne", ge-tà, is e seo an aon eadar-aghaidh airson conaltradh le modalan, agus tha daoine, gu fìor dha-rìribh, air a bhith a’ sgrìobhadh am buileachadh Modbus RTU airson ùine mhòr.

Aig an aon àm, bha an tì chamomile a 'ruith a-mach ... Bha a’ ghrian a’ dol fodha

3. IDE MasterSCADA

Cha bhith sinn a’ bruidhinn mu innealan grafaigeach; Cha do rinn mi deuchainn farsaing orra, ach canaidh mi sa bhad nach do chòrd e rium.

Tha sinn a’ bruidhinn mu bhith a’ buileachadh iomlaid dàta agus cànanan àbhaisteach IEC:

Chan eil cuir a-steach corporra agus toraidhean an rianadair nan caochladairean cruinneil agus chan fhaighear iad bho phàirt sam bith den phrògram le bhith a’ sgrìobhadh alias, mar eisimpleir “DI1”. Bu chòir dhut seo a shlaodadh a-steach do gach prògram a’ cleachdadh làmhan, tha caochladair ionadail air a chruthachadh an sin, a bhios a’ sealbhachadh no a’ gluasad an luach. An fheadhainn sin. tha fìor bhrìgh an PLC, nam lèirsinn, beagan air chall: bu chòir don inneal prògramadh a dhèanamh nas sìmplidhe air loidsig obrachadh nan seanailean fiosaigeach chun na h-ìre “Ma thèid cuir a-steach DI1 a phiobrachadh, tionndaidh air toradh DO1”agus tha e coltach ri seo "Cuir a-steach DI1 - Caochlaideach LI1 - caochlaideach LO1 - Toradh DO1", cuideachd, air sgàth aineolais mun phrionnsapal IDE seo, faodaidh tu rabhadh tlachdmhor a ghlacadh “Tha tionndadh Boolean-Boolean do-dhèanta” (is coltaiche, tha fear dhiubh na neach-stiùiridh, ach tha mi a ’smaoineachadh ann an luchd-deasachaidh an luchd-cruthachaidh, tha e nas co-chòrdail) .

Tha leabharlannan nan cànanan ST, FBD, SFC gu math voluminous agus tha roghainn ann airson prògramadh a dhèanamh furasta, ge-tà, chan e gnìomhan a th’ anns na co-phàirtean sin, ach clasaichean anns a bheil dòighean freumhaichte, agus san dàrna àite, chan eil cuideachadh aig a ’mhòr-chuid le bhith a’ toirt cunntas air. comas-gnìomh agus seòrsachan dàta. Thug seasmhachd mi gu leabharlannan kernel CodeSys, às an deach na gnìomhan sin uile a thoirt a-steach, chuidich an cuideachadh.

4. Exchange le SP307 pannal

Tachartas gu math inntinneach dhaibhsan aig nach eil àite airson latha no dhà a chaitheamh.

Is e deuchainn àbhaisteach GUI (HMI no SCADA) dhòmhsa 6 deuchainnean a dhèanamh:

  1. Leughadh Comharran air leth
  2. A’ clàradh comharra air leth
  3. Leughadh luach iomlan
  4. A 'sgrìobhadh luach iomlan
  5. Leughadh fìor luach
  6. A 'sgrìobhadh fìor luach

Mar sin, bidh mi a’ tarraing 6 pàirtean prìomhadail air an sgrion agus a’ sgrùdadh gach fear ann an òrdugh
Tha an iomlaid gu tur an aon rud ri modalan, ach bho phort RS-232/485 PLC air leth, agus, tha e coltach, nas seasmhaiche. Leis gur e tràill HMI a th’ ann, sgrìobh mi thuige le atharrachadh, agus leugh mi e ann an cunntas-bheachd 500ms, gus nach caill mi gnìomhan a’ ghnìomhaiche.

Chaidh a 'chiad 4 puingean a chrìochnachadh gu foirfe, ach dh' adhbhraich puingean 5 agus 6 duilgheadasan.

Bidh sinn a’ cur dàta den t-seòrsa Singilte Float, ga thaisbeanadh air an sgrion agus a’ faicinn nach eil an dàta mar an ceudna, ged a tha a h-uile suidheachadh toraidh (Float, clàr meud 1, msaa) ceart. Bhiodh e na bhreug a ràdh nach eil am fasach air a mhìneachadh anns na sgrìobhainnean, ge-tà, feuch ri faighinn a-mach dè am fear agus càite, tha e èibhinn bhon taobh a-muigh.

Às deidh sgrùdadh hysterical de na roghainnean gu lèir a thaobh an dàta fhèin agus a chuir, Bidh sinn a’ sgrìobhadh gu taic theicnigeach, tha am freagairt gu cuibheasach 5-6 latha mìosachain, bidh sinn ag obair a rèir an sgriobt taic theicnigeach àbhaisteach “Thoir sùil gu bheil an cumhachd air adhart - thoir sùil air dreach a’ bhathar-bog - fuirich seachdain eile - feuch an obraich sinn a-mach sinn fhìn ”.

Co-dhiù, chaidh co-dhùnadh le bhith a’ stàladh aon diog ann an àite gu tur neo-iomchaidh le ainm-sgrìobhte gu tur neo-iomchaidh.

Ann an comas-gnìomh sgrion Chan eil cuir a-steach comharra analog den chruth “Slider” air a thoirt a-steach, chan urrainnear a chuir a-steach ach san raon teacsa a’ cleachdadh àireamhan. Tha seo dìreach iongantach, an dàrna cuid bidh sinn a’ sgrìobhadh na putanan “±” agus an sgriobt sinn fhìn, no bidh sinn a’ cuir a-steach àireamh bhon mheur-chlàr, agus a’ dìochuimhneachadh smachd bog air cuid de dhràibheadh.

Cha chuir mi cus air an artaigil, agus mar sin bheir mi cunntas air duilgheadasan leis an ìre as àirde ann am pàirt 2.

Airson geàrr-chunntas, Tha mi airson a thoirt fa-near gu robh saorsa gu leòr agam agus tòrr ùine airson na duilgheadasan sin fhuasgladh, a tha aig a ’chiad sealladh a’ coimhead èibhinn, ach ag adhbhrachadh tòrr pian don neach-fulang. Ann an suidheachaidhean le ùine chuingealaichte, tha e deatamach aghaidh a thoirt air na duilgheadasan sin.

PS: Tha a h-uile tràchdas a tha air a thaisbeanadh an seo pearsanta, agus chan eil annta ach oidhirp gus rabhadh a thoirt dha daoine nach eil ullaichte, agus gun a bhith a’ dèanamh leth-bhreith an aghaidh luchd-saothrachaidh, tha mi ag iarraidh ort an artaigil seo a thoirt bhon taobh seo.

Tha an dàrna pàirt an seo mu thràth: cliog

Source: www.habr.com

Cuir beachd ann