ARIES PLC110[M02]-MS4, HMI, OPC ary SCADA, na ohatrinona ny dite Chamomile ilain'ny olona iray. Fizarana 1

Salama tompoko, ry mpamaky an'ity lahatsoratra ity. Manoratra ity amin'ny endrika famerenana aho.

Fampitandremana kelyTe hampitandrina anao aho fa raha azonao avy hatrany ny tiana holazaina avy amin'ny lohateny, dia manoro hevitra anao aho mba hanova ny teboka voalohany (raha ny marina, ny PLC core) amin'ny zavatra rehetra avy amin'ny sokajy vidin'ny dingana iray avo kokoa.
Tsy misy fitahirizam-bola mendrika izany, raha ny marina.

Ho an'ireo izay tsy matahotra volo fotsy kely sy ny amplitude ny tic mitebiteby, dia holazaiko amin'ny antsipiriany ny fomba namoronana ity fahagagana ara-teknolojia ity. Ity lahatsoratra ity dia manome famakafakana fohy momba ny tetikasa miaraka amin'ny tsikera sasantsasany.

Origin. Famolavolana ny olana

Raha ny marina, miasa ao amin'ny biraon'ny famolavolana aho, ary mizaha fitaovana automatique izahay mba hampidirana ao amin'ny orinasa turnkey. Vao haingana, tonga tao amin'ny trano fanatobiana entana ny fitaovana OWEN ary nanapa-kevitra ny hanangona dabilio fitsapana avy aminy:

  • PLC110[M02]-MS4 (tontolo mpanatanteraka MastersSCADA 4D)
  • Takelaka data SP307
  • MΓ΄dΓ΄ fampidirana famantarana analoga manerantany ΠœΠ’110-224.2А
  • Ireo singa mifandraika amin'ny MV110-4TD
  • Module fandrefesana herinaratra MV110-220.3M

Rafitra rafitra nofidina tamin'ny fanavahana ny tambajotra araka ny tanjona:

  1. Modbus RTU miorina amin'ny RS-485 - fifandraisana eo amin'ny PLC sy ny fitaovana andevo (modules, converters matetika, sensor marani-tsaina, panel HMI SP307), tompon'ny tambajotra PLC.
  2. Modbus TCP mifototra amin'ny Ethernet - Fifandraisana amin'ny PLC samihafa miaraka amin'ny mpizara OPC
  3. Ny OPC sy ny SCADA rafitra PC server dia vavahady eo amin'ny tambajotra roa samy hafa (Corporate LAN an'ny orinasa sy Modbus TCP tambajotran'ny mpanara-maso (tambajotra adaptatera roa miaraka amin'ny fampitaovana data mampiasa fitaovana Windows mahazatra)
  4. Ny LAN orinasa dia manana fidirana amin'ny Internet amin'ny alΓ lan'ny mpizara proxy

Ny rafitra ankapoben'ny rafitra dia aseho amin'ny sary etsy ambany:

ARIES PLC110[M02]-MS4, HMI, OPC ary SCADA, na ohatrinona ny dite Chamomile ilain'ny olona iray. Fizarana 1

Fampiasa anatiny

  • Fanangonana sy famerenana ny angona avy amin'ny PLC mankany amin'ny mpizara OPC
  • Fanaraha-maso sy fanaraha-maso eo an-toerana amin'ny alΓ lan'ny tontonana HMI
  • Fanaraha-maso sy fanaraha-maso avy amin'ny SCADA amin'ny alΓ lan'ny mpizara OPC
  • Fanaraha-maso avy amin'ny PC rehetra avy amin'ny LAN orinasa sy amin'ny alΓ lan'ny Internet mampiasa mpanjifa SCADA
  • Mampifandray ny fanaraha-maso OPC finday amin'ny alΓ lan'ny LAN sy Internet
  • Mazava ho azy, ny famoronana arsiva sy tatitra

Toa tsy nisy tsy hita. Misy famaritana ankapobeny ny rafitra, ary ankehitriny, raha ny marina, momba ny lohahevitra (holazaiko ny fomba fanafoanana amin'ny lahatsoratra miaraka amin'ny fampiharana ny node tsirairay):

Fahasahiranana sendra

1. antontan-taratasy PLC

Ny fitsapana beta amin'ny PLC nambara amin'ny fototra MastersSCADA 4D dia nasehon'ny mpanamboatra tamin'ny taona 2012. Na dia eo aza ny androm-piainan'ny foto-kevitra mahavariana, izay rehetra ananan'ny mpamorona amin'ny taona 2019 dia boky torolΓ lana amin'ny pejy 28 (!?), izay tsy misy fampahalalana mahasoa, ary ny pikantsary ao amin'ny boky dia avy amin'ny MastersSCADA 3D, izay tena mampihomehy raha raisina fa niova ny interface.

Lohahevitra forum misy lohahevitra 20 ihany koa no tohanan'ny mpanaraka telo sy mpitantana varotra iray.

2. Architecture ny Modules PLC

Lohahevitra mitokana ho an'ny dinika ity. Fohy: ny PLC dia mifandray amin'ny maodely ho fitaovana andevo Modbus RTU, izay tsy maintsy amboarina amin'ny alΓ lan'ny fampitaovana manokana amin'ny alΓ lan'ny fampifandraisana ny tsirairay amin'ny PC amin'ny alΓ lan'ny mpanova RS-485.

Ny lehilahy manan-tsaina, mazava ho azy, dia mety mahafantatra ny fomba hanaovana izany tsy misy converter amin'ny alΓ lan'ny PLC, mampifandray ny modules amin'ny tambajotra ary manoratra ny rejisitra ilaina, saingy izany dia miaraka amin'ny traikefa sy fanaintainana be.

Ho an'ny mpamorona izay sambany vao mahita rafitra toy izany, dia tsy mora amin'ny mpampiasa izany.
Ary koa, ny maodely analoga rehetra dia tia ny tsy hahomby noho ny antony tsy fantatra, mitondra miaraka amin'izy ireo ny tamba-jotra RS-485 manontolo ao amin'ny Terra Incognita, fa te hiresaka momba izany ihany koa aho, epic iray manontolo, mazava ho azy. Ny olana, raha ny marina, dia 10 taona, ny mpanamboatra dia mihomehy β€œTsy maintsy ekentsika fa tsy nety taminay ireo mΓ΄dely”, na izany aza, io no hany interface tsara hifandraisana amin'ny modules, ary ny olona, ​​​​tena matotra, dia nanoratra ny fampiharana Modbus RTU efa ela.

Nandritra izany fotoana izany, lany ny dite chamomile... Nilentika ny masoandro

3. IDE MastersCADA

Tsy hiresaka momba ny fitaovana an-tsary izahay; Tsy nanandrana azy ireo aho, fa holazaiko avy hatrany fa tsy tiako izany.

Miresaka momba ny fampiharana ny fifanakalozana angona sy ny fiteny mahazatra IEC izahay:

Ny fampidirana sy ny vokatra ara-batana an'ny mpanara-maso dia tsy miovaova manerantany ary tsy azo idirana amin'ny ampahany amin'ny programa amin'ny fanoratana anarana, ohatra "DI1". Tokony hotarihinao amin'ny programa tsirairay izany amin'ny fampiasana tΓ nana, misy fari-piadidiana eo an-toerana miforona ao, izay mandova na mamindra ny sandany. Ireo. Ny tena fototry ny PLC, raha ny fahitako azy, dia very kely: ny fitaovana dia tokony hanatsotra ny fandaharana ny lojika amin'ny fiasan'ny fantsona ara-batana amin'ny ambaratonga. "Raha mipoitra ny input DI1, velomy ny output DO1"ary toa izao "Input DI1 - Variable LI1 - Variable LO1 - Output DO1", koa, noho ny tsy fahalalana an'io fitsipika IDE io, dia afaka mahazo fampitandremana mahafinaritra ianao "Tsy azo atao ny fiovam-po Boolean-Boolean" (azo inoana fa ny iray amin'izy ireo dia tondro, saingy heveriko fa ao amin'ny tonian'ny mpamorona dia mirindra kokoa izany) .

Ny trano famakiam-bokin'ny fiteny ST, FBD, SFC dia be dia be ary misy safidy ho an'ny fanamorana ny fandaharana, na izany aza, ireo singa ireo dia tsy miasa, fa ny kilasy ao anatin'izany ny fomba fampidirana, ary faharoa, ny ankamaroany dia tsy manana fanampiana amin'ny famaritana. ny fiasa sy ny karazana data. Ny fikirizana dia nitarika ahy ho any amin'ny tranomboky kernel CodeSys, izay nanalana ireo fiasa rehetra ireo, nanampy ny fanampian'izy ireo.

4. Fifanakalozana amin'ny tontonana SP307

Hetsika tena mahaliana ho an'ireo izay tsy manana toerana handany andro roa.

Ny fitsapana GUI mahazatra (HMI na SCADA) ho ahy dia ny fanaovana fitsapana 6:

  1. Famakiana Signal Discrete
  2. Fandraketana famantarana miavaka
  3. Famakiana sanda integer
  4. Manoratra sanda integer
  5. Famakiana sanda tena izy
  6. Manoratra tena sarobidy

Noho izany, manao ny singa 6 primitive eo amin'ny efijery aho ary manamarina ny tsirairay amin'ny filaharany
Ny fifanakalozana dia mitovy tanteraka amin'ny modules, fa avy amin'ny seranan-tsambo RS-232/485 PLC mitokana, ary toa miorina kokoa. Koa satria andevo HMI izy, dia nanoratako azy tamin'ny fanovana, ary namaky izany tamin'ny fitsapan-kevitra 500ms, mba tsy ho diso ny fihetsiky ny mpandraharaha.

Vita tanteraka ny isa 4 voalohany, saingy niteraka olana ny isa 5 sy 6.

Mandefa angona amin'ny karazana Single Float izahay, asehoy eo amin'ny efijery ary jereo fa tsy mitovy ny angon-drakitra, na dia marina aza ny firafitry ny famoahana (Float, rejisitra 1 dimension, sns.). Mandainga ny milaza fa tsy voalaza ao amin'ny antontan-taratasy ny teo aloha, na izany aza, miezaka mitady izay iray ary aiza, mampihomehy avy any ivelany.

Taorian'ny fikarohana hysterical ny toe-javatra rehetra momba ny angon-drakitra sy ny fandefasana azy, Manoratra amin'ny fanohanana ara-teknika izahay, ny valiny dia eo amin'ny 5-6 andro amin'ny kalandrie, miasa araka ny script fanohanana ara-teknika mahazatra izahay "Jereo fa mandeha ny herinaratra - jereo ny version software - andraso herinandro iray hafa - Andeha hojerentsika izany ”.

Raha ny tokony ho izy, dia nanapa-kevitra tamin'ny fametrahana tsatoka iray amin'ny toerana tsy ampy tanteraka miaraka amin'ny sonia tsy ampy.

Ao amin'ny fonctionnaire Γ©cran Ny fampidirana famantarana analog amin'ny endrika "Slider" dia tsy tafiditra, dia tsy azo ampidirina amin'ny sehatry ny lahatsoratra amin'ny fampiasana isa ihany. Mahagaga fotsiny izany, na manoratra ny bokotra "Β±" sy ny script isika, na mampiditra isa avy amin'ny klavier, ary manadino ny fifehezana malefaka amin'ny fiara sasany.

Tsy hameno ny lahatsoratra be loatra aho, noho izany dia holazaiko ny olana amin'ny ambaratonga ambony amin'ny fizarana 2.

Raha fintinina, Tiako ny manamarika fa nanana fahafahana ampy sy fotoana be dia be aho hamahana ireo olana ireo, izay raha vao jerena dia toa mampihomehy, fa miteraka fanaintainana be ho an'ilay niharam-boina. Ao anatin'ny fotoana voafetra dia tena ilaina ny miatrika olana toy izany.

PS: Ireo teny rehetra atolotra eto dia subjective, ary fikasana hampitandrina ireo tsy vonona fotsiny, ary tsy hanavakavaka ny mpanamboatra, dia miangavy anao aho mba haka ity lahatsoratra ity amin'ity fomba fijery ity.

Efa eto ny ampahany faharoa: tsindrio

Source: www.habr.com

Add a comment