Tabilao ara-potoana momba ny siansa informatika an-tsekoly

(Karatra fanaraha-maso)
(Natokana ho an'ny Taona Iraisam-pirenena amin'ny tabilao ara-potoanan'ny singa simika)
(Ny fanampim-panampiana farany dia natao tamin'ny 8 aprily 2019. Ny lisitr'ireo fanampiny dia eo ambanin'ny tapany)

Tabilao ara-potoana momba ny siansa informatika an-tsekoly
(Vonin'i Mendeleev, loharano)

Tsaroako fa nandalo ilay gana izahay. Ireo dia lesona telo indray mandeha: jeografia, siansa voajanahary ary Rosiana. Ao amin'ny lesona momba ny siansa, ny gana dia nianatra toy ny gana, inona ny elany, inona ny tongony, ny fomba milomano, sy ny sisa. Ao amin'ny lesona jeografia, io ganagana io ihany no nianatra tamin'ny maha mponin'ny tany: ilaina ny mampiseho amin'ny sarintany ny toerana misy azy sy ny tsy misy azy. Amin'ny teny Rosiana, Serafima Petrovna dia nampianatra antsika hanoratra “u-t-k-a” sy hamaky zavatra momba ny ganagana avy any Brem. Tamin'ny seho dia nampahafantatra anay izy fa amin'ny teny alemà dia toy izao ny ganagana, ary amin'ny teny frantsay dia toy izao. Heveriko fa nantsoina hoe "fomba sarotra" izany tamin'izany fotoana izany. Amin'ny ankapobeny, ny zava-drehetra dia nivoaka "mitsingevana".

Veniamin Kaverin, Kapiteny roa

Ao amin'ny teny etsy ambony, Veniamin Kaverin nahay nampiseho ny lesoka ny fomba fampianarana sarotra, na izany aza, amin'ny toe-javatra sasany (angamba tsy fahita firy) ny singa amin'ity fomba ity dia voamarina. Iray amin'izany ny tabilao ara-potoana nataon'i D.I Mendeleev amin'ny lesona momba ny siansa informatika. Ny asan'ny automatique rindrambaiko amin'ny hetsika mahazatra miaraka amin'ny tabilao periodika dia mazava ho an'ny mpianatra izay nanomboka nianatra simia, ary mizara ho asa simika maro. Amin'izany fotoana izany, ao anatin'ny sehatry ny siansa informatika, ity asa ity dia mamela antsika hampiseho amin'ny endrika tsotra ny fomba fanaraha-maso ny karatra, izay azo lazaina amin'ny programa grafika, izay takatra amin'ny heviny malalaka amin'ny teny hoe fandaharana mampiasa singa grafika.

(8 aprily 2019 fanampiny natao:
Fanampiny 1: Ahoana no fiasan'ny Calculator Chemistry
Fanampiny 2: ohatra momba ny asa ho an'ny sivana)

Andeha isika hanomboka amin'ny asa fototra. Amin'ny tranga tsotra indrindra, ny tabilao periodika dia tokony haseho eo amin'ny efijery amin'ny endrika varavarankely, izay ao amin'ny sela tsirairay dia hisy famaritana simika ny singa: H - hydrogen, He - helium, sns. Raha manondro sela iray ny cursor totozy, dia aseho amin'ny sehatra manokana amin'ny endrikay ny fanondroana ny singa sy ny isany. Raha manindry LMB ny mpampiasa, dia haseho amin'ny sehatra hafa amin'ny endrika ny anarana sy ny laharan'ity singa voafantina ity.

Tabilao ara-potoana momba ny siansa informatika an-tsekoly

Ny olana dia azo vahana amin'ny alalan'ny fiteny rehetra. Horaisinay ny Delpi-7 taloha tsotra, izay azon'ny ankamaroan'ny olona rehetra. Fa alohan'ny fandaharana amin'ny PL, andao hanao sary roa, ohatra, amin'ny Photoshop. Voalohany, andao hataontsika ny tabilao periodika amin'ny endrika tiantsika ho hita ao amin'ny fandaharana. Tehirizo ao anaty rakitra sary ny valiny table01.bmp.

Tabilao ara-potoana momba ny siansa informatika an-tsekoly

Ho an'ny sary faharoa dia mampiasa ny voalohany isika. Hofenoinay misesy ny selan'ny latabatra, voadio amin'ny sary rehetra, miaraka amin'ny loko miavaka amin'ny modely loko RGB. R sy G dia ho 0 foana, ary B=1 ho an'ny hydrogène, 2 ho an'ny helium, sns. Ity sary ity dia ho karatra fanaraha-maso antsika, izay hotehirizina ao anaty rakitra iray antsoina hoe table2.bmp.

Tabilao ara-potoana momba ny siansa informatika an-tsekoly

Vita ny dingana voalohany amin'ny fandaharana sary ao amin'ny Photoshop. Andao hiroso amin'ny fandaharana GUI amin'ny sary ao amin'ny Delpi-7 IDE. Mba hanaovana izany, sokafy ny tetikasa vaovao, izay eo amin'ny endrika fototra dia mametraka bokotra fifanakalozan-kevitra (tableDlg), izay hanaovana asa miaraka amin'ny latabatra. Manaraka dia miasa miaraka amin'ny endrika tableDlg.

Asio singa iray ao amin'ny kilasy amin'ny endrika TImage. Mahazo izahay Image1. Mariho fa amin'ny ankapobeny, ho an'ny tetikasa lehibe, dia mamorona ho azy ny anaran'ilay endrika ImageNizay N Mety hahatratra am-polony na mihoatra - tsy ity no fomba fandaharana tsara indrindra, ary tokony homena anarana manan-danja kokoa. Fa amin'ny tetikasa keliko, aiza N tsy hihoatra ny 2, azonao avela ho novokarina.

Ho fananana Sary1.Sary ampidiro ny rakitra table01.bmp. Mamorona isika Image2 ary ampidiro ao ny karatra fanaraha-maso anay table2.bmp. Amin'ity tranga ity, ataonay kely sy tsy hitan'ny mpampiasa ny rakitra, araka ny aseho eo amin'ny zoro ambany havia amin'ny endrika. Manampy singa fanaraha-maso fanampiny izahay, izay miharihary ny tanjona. Ny dingana faharoa amin'ny fandaharana GUI grafika ao amin'ny Delpi-7 IDE dia vita.

Tabilao ara-potoana momba ny siansa informatika an-tsekoly

Andao hiroso amin'ny dingana fahatelo - manoratra kaody ao amin'ny Delpi-7 IDE. Tsy misy afa-tsy mpanentana hetsika dimy ihany ny maody: famoronana endrika (FormCreate), hetsika cursor Image1 (Image1MouseMove), manindry LMB amin'ny sela iray (Image1Click) ary mivoaka ny fifanakalozan-kevitra amin'ny fampiasana ny bokotra OK (OKBtnClick) na Manafoana (CancelBtnClick). Ny lohatenin'ireo mpitantana ireo dia novolavolaina amin'ny fomba mahazatra amin'ny fampiasana ny IDE.

Kaody loharano Module:

unit tableUnit;
// Периодическая таблица химических элементов Д.И.Менделеева
//
// third112
// https://habr.com/ru/users/third112/
//
// Оглавление
// 1) создание формы
// 2) работа с таблицей: указание и выбор
// 3) выход из диалога

interface

uses Windows, SysUtils, Classes, Graphics, Forms, Controls, StdCtrls, 
  Buttons, ExtCtrls;

const
 size = 104; // число элементов
 
type
 TtableDlg = class(TForm)
    OKBtn: TButton;
    CancelBtn: TButton;
    Bevel1: TBevel;
    Image1: TImage;  //таблица химических элементов
    Label1: TLabel;
    Image2: TImage;  //управляющая карта
    Label2: TLabel;
    Edit1: TEdit;
    procedure FormCreate(Sender: TObject); // создание формы
    procedure Image1MouseMove(Sender: TObject; Shift: TShiftState; X,
      Y: Integer);                        // указание клетки
    procedure Image1Click(Sender: TObject); // выбор клетки
    procedure OKBtnClick(Sender: TObject);  // OK
    procedure CancelBtnClick(Sender: TObject); // Cancel
  private
    { Private declarations }
    TableSymbols : array [1..size] of string [2]; // массив обозначений элементов
  public
    { Public declarations }
    selectedElement : string; // выбранный элемент
    currNo : integer;         // текущий номер элемента
  end;

var
  tableDlg: TtableDlg;

implementation

{$R *.dfm}

const
PeriodicTableStr1=
'HHeLiBeBCNOFNeNaMgAlSiPSClArKCaScTiVCrMnFeCoNiCuZnGaGeAsSeBrKrRbSrYZrNbMoTcRuRhPdAgCdInSnSbTeIXeCsBaLa';
PeriodicTableStr2='CePrNdPmSmEuGdTbDyHoErTmYbLu';
PeriodicTableStr3='HfTaWReOsIrPtAuHgTlPbBiPoAtRnFrRaAc';
PeriodicTableStr4='ThPaUNpPuAmCmBkCfEsFmMdNoLrKu ';

// создание формы  ==================================================

procedure TtableDlg.FormCreate(Sender: TObject);
// создание формы
var
  s : string;
  i,j : integer;
begin
  currNo := 0;
// инициализация массива обозначений элементов:
  s := PeriodicTableStr1+ PeriodicTableStr2+PeriodicTableStr3+PeriodicTableStr4;
  j := 1;
  for i :=1 to size do
   begin
     TableSymbols [i] := s[j];
     inc (j);
     if s [j] in ['a'..'z'] then
      begin
        TableSymbols [i] := TableSymbols [i]+ s [j];
        inc (j);
      end; // if s [j] in
   end; // for i :=1
end; // FormCreate ____________________________________________________

// работа с таблицей: указание и выбор =========================================

procedure TtableDlg.Image1MouseMove(Sender: TObject; Shift: TShiftState;
  X, Y: Integer);
// указание клетки
var
  sl : integer;
begin
  sl := GetBValue(Image2.Canvas.Pixels [x,y]);
  if sl in [1..size] then
   begin
    Label1.Caption := intToStr (sl)+ ' '+TableSymbols [sl];
    currNo := sl;
   end
  else
    Label1.Caption := 'Select element:';
end; // Image1MouseMove   ____________________________________________________

procedure TtableDlg.Image1Click(Sender: TObject);
begin
  if currNo <> 0 then
   begin
    selectedElement := TableSymbols [currNo];
    Label2.Caption := intToStr (currNo)+ ' '+selectedElement+ ' selected';
    Edit1.Text := selectedElement;
   end;
end; // Image1Click  ____________________________________________________

// выход из диалога  ==================================================

procedure TtableDlg.OKBtnClick(Sender: TObject);
begin
    selectedElement := Edit1.Text;
    hide;
end;  // OKBtnClick ____________________________________________________

procedure TtableDlg.CancelBtnClick(Sender: TObject);
begin
  hide;
end;  // CancelBtnClick ____________________________________________________

end.

Ao amin'ny dikan-tsika dia naka latabatra misy singa 104 izahay (constant habe). Mazava ho azy fa azo ampitomboina io habe io. Ny fanondroana singa (marika simika) dia nosoratana amin'ny array TableSymbols. Na izany aza, noho ny anton'ny fahatomombanan'ny kaody loharano, dia toa tsara ny manoratra ny filaharan'ireo fanamarihana ireo amin'ny endrika tsy miova amin'ny tady. PeriodicTableStr1..., PeriodicTableStr4ka rehefa noforonina ny endrika, dia ny programa mihitsy no manaparitaka ireo anarana ireo eo anivon'ireo singa ao amin'ny array. Ny fanondroana singa tsirairay dia misy litera latina iray na roa, ka ny litera voalohany dia lehibe ary ny faharoa (raha misy) kely. Ity fitsipika tsotra ity dia ampiharina rehefa mampiditra array. Noho izany, ny filaharan'ny fanamarihana dia azo soratana amin'ny fomba fohy tsy misy habaka. Manasaraka ny filaharana ho fizarana efatra (constant PeriodicTableStr1..., PeriodicTableStr4) dia noho ny fiheverana ny fanamorana ny famakiana ny kaody loharano, satria Ny tsipika lava loatra dia mety tsy hifanaraka tanteraka amin'ny efijery.

Rehefa mihetsika eo ambonin'ny Image1 mpitantana Image1MouseMove ity hetsika ity dia mamaritra ny sandan'ny singa loko manga amin'ny piksela karatra fanaraha-maso Image2 ho an'ny fandrindrana cursor ankehitriny. Amin'ny fanorenana Image2 io sanda io dia mitovy amin'ny laharan'ny singa raha ao anatin'ny sela ny cursor; aotra raha eo amin'ny sisin-tany, ary 255 amin'ny tranga hafa. Ny hetsika sisa tavela amin'ny programa dia tsy misy dikany ary tsy mila fanazavana.

Ho fanampin'ny teknikan'ny fandaharana stylistika voalaza etsy ambony, dia tsara ny manamarika ny fomba fanehoan-kevitra. Raha ny marina, ny fehezan-dalàna resahina dia kely sy tsotra ka toa tsy ilaina loatra ny fanehoan-kevitra. Na izany aza, nampiana ihany koa izy ireo noho ny antony metodolojika - ny fehezan-dalàna fohy dia mamela antsika hanao tsoa-kevitra ankapobeny mazava kokoa. Ao amin'ny fehezan-dalàna aseho dia misy kilasy iray (TtableDlg). Ny fomba an'ity kilasy ity dia azo ovaina ary tsy hisy fiantraikany amin'ny fampandehanana ny programa amin'ny fomba rehetra izany, fa mety hisy fiantraikany amin'ny famakiana azy. Ohatra, alaivo sary an-tsaina ny filaharana:

OKBtnClick, Image1MouseMove, FormCreate, Image1Click, CancelBtnClick.

Mety tsy ho tsikaritra loatra ilay izy, fa ho lasa sarotra kokoa ny mamaky sy mahazo azy. Raha tsy misy fomba dimy, fa avo folo heny kokoa ao amin'ny fizarana fampiharana manana filaharana hafa tanteraka noho ny ao amin'ny famaritana kilasy izy ireo, dia vao mainka hitombo ny korontana. Noho izany, na dia sarotra aza ny manaporofo amin'ny fomba hentitra ary mety tsy ho vita mihitsy aza, dia afaka manantena fa ny fampidirana lamina fanampiny dia hanatsara ny fahaiza-mamaky ny kaody. Ity filaharana fanampiny ity dia manamora ny fanakambanana lojika amin'ny fomba maro izay manao asa mifandraika amin'izany. Tokony homena lohateny ny vondrona tsirairay, ohatra:

// работа с таблицей: указание и выбор

Ireo lohateny ireo dia tokony hadika any amin'ny fiandohan'ny maody ary amboarina ho toy ny lisitry ny atiny. Amin'ny tranga sasany amin'ny maodely lava be, ny lisitry ny atiny toy izany dia manome safidy fitetezana fanampiny. Toy izany koa, amin'ny vatana lava amin'ny fomba iray, fomba fiasa na fiasa, dia mendrika, voalohany, manamarika ny fiafaran'ity vatana ity:

end; // FormCreate

ary, faharoa, amin'ny fanambarana sampana misy fonon-drafitra manomboka - fiafarana, asio marika ny fanambarana izay ilazan'ny fonon-tanana:

      end; // if s [j] in
   end; // for i :=1
end; // FormCreate

Mba hanasongadinana ny lohatenin'ny vondrona sy ny faran'ny vatan'ny fomba, dia azonao atao ny manampy andalana lava kokoa noho ny ankamaroan'ny fanambarana ary ahitana, ohatra, ny tarehintsoratra "="" sy "_", tsirairay avy.
Mila manao famandrihana indray isika: tsotra loatra ny ohatra asehontsika. Ary rehefa tsy mifanaraka amin'ny efijery iray ny kaody fomba iray, dia mety ho sarotra ny mahatakatra ny fiafaran'ny enina misesy mba hanovana ny kaody. Ao amin'ny compiler taloha sasany, ohatra, Pascal 8000 ho an'ny OS IBM 360/370, tsanganana serivisy toa an'io no natonta teo ankavia tao amin'ny lisitra.

B5
…
E5

Midika izany fa ny fononteny famaranana amin'ny tsipika E5 dia mifanitsy amin'ny fononteny fanokafana amin'ny andalana B5.

Mazava ho azy fa olana tena mampiady hevitra ny fomba fandaharana, ka ny hevitra ambara eto dia tokony ho raisina ho toy ny sakafo ho an'ny fisainana. Mety ho sarotra ho an'ny mpandrindra programa roa efa za-draharaha, izay efa nivoatra sy zatra tamin'ny fomba samihafa nandritra ny taona maro niasana, ny hanao fifanarahana. Hafa ny resaka ho an'ny mpianatra mianatra programa izay tsy mbola nanam-potoana hitadiavana ny fombany. Heveriko fa amin'ity tranga ity ny mpampianatra dia tokony hampita farafaharatsiny amin'ny mpianany ny hevitra tsotra nefa tsy mibaribary fa ny fahombiazan'ny programa dia miankina betsaka amin'ny fomba nanoratana ny code source. Ny mpianatra dia mety tsy manaraka ny fomba atolotra, fa avelao izy hieritreritra farafaharatsiny momba ny filana hetsika "fanampiny" hanatsarana ny famolavolana ny kaody loharano.

Miverena amin'ny olana fototra amin'ny tabilao periodika: mety handeha amin'ny lalana samihafa ny fivoarana bebe kokoa. Ny iray amin'ireo toro-lalana dia natao ho fanondroana: rehefa manendry ny cursor totozy eo ambonin'ny selan'ny latabatra ianao, dia misy varavarankely fampahafantarana miseho misy fampahalalana fanampiny momba ilay singa voatondro. Ny fampandrosoana fanampiny dia sivana. Ohatra, miankina amin'ny fametrahana, ny varavarankelin'ny fampahalalam-baovao dia tsy hisy afa-tsy ny: ny fampahalalana ara-batana sy simika manan-danja indrindra, fampahalalana momba ny tantaran'ny fahitana, fampahalalana momba ny fizarana amin'ny natiora, lisitry ny fitambarana manan-danja indrindra (izay misy io singa io), toetra ara-batana, anarana amin'ny fiteny vahiny, sns. e. Ny fahatsiarovana ny "ganagana" an'i Kaverin izay nanombohan'ity lahatsoratra ity dia afaka milaza fa miaraka amin'ity fivoaran'ny programa ity dia hahazo fiofanana feno momba ny siansa voajanahary isika: ankoatry ny ordinatera. siansa, fizika ary simia - biolojia, jeografia ara-toekarena, tantaran'ny siansa ary na dia ny fiteny vahiny aza.

Saingy ny angon-drakitra eo an-toerana dia tsy ny fetra. Ny fandaharana dia mifandray ho azy amin'ny Internet. Rehefa misafidy singa iray ianao, dia alefa ny rohy, ary ny lahatsoratra Wikipedia momba an'io singa io dia misokatra amin'ny varavarankelin'ny navigateur web. Wikipedia, araka ny fantatrao, dia tsy loharanon-kery. Azonao atao ny mametraka rohy mankany amin'ny loharanom-pahefana, ohatra, rakipahalalana simika, TSB, diary abstract, fangatahana baiko amin'ny milina fikarohana momba ity singa ity, sns. Izany. Ho afaka hamita asa tsotra nefa manan-danja momba ny lohahevitra DBMS sy Internet ny mpianatra.

Ho fanampin'ny fanontaniana momba ny singa tsirairay, azonao atao ny mamorona fiasa izay, ohatra, hanamarika ny sela ao amin'ny latabatra izay mahafeno fepetra sasany amin'ny loko samihafa. Ohatra, metaly sy tsy metaly. Na ireo sela arian'ny orinasa simika ao an-toerana ao anaty rano.

Azonao atao koa ny mampihatra ny asan'ny mpikarakara kahie. Asongadino eo amin'ny latabatra, ohatra, ireo singa tafiditra ao anatin'ny fanadinana. Asongadino avy eo ireo singa nohalalinina/averin'ny mpianatra ho fanomanana ny fanadinana.

Ary eto, ohatra, ny iray amin'ireo olana mahazatra momba ny simia sekoly:

Omena tsaoka 10 g. Ohatrinona ny asidra hydrochloric tokony horaisina mba handrava izany tsaoka rehetra izany?

Mba hamahana ity olana ity dia ilaina ny manoratra ny simika. ny fanehoan-kevitra sy ny fametrahana ny coefficients ao, kajy ny molekiola lanjan'ny kalsioma karibonetra sy ny hydrogène klôro, dia mamorona sy hamaha ny ampahany. Ny kajy mifototra amin'ny programa fototra dia afaka manao kajy sy mamaha. Marina fa mbola mila mihevitra ianao fa ny asidra dia tsy maintsy raisina amin'ny fihoaram-pefy ara-drariny sy amin'ny fifantohana ara-drariny, fa simia io fa tsy siansa informatika.
Fanampiny 1: Ahoana no fiasan'ny Calculator ChemistryAndeha hojerentsika ny fiasan'ny kajy amin'ny fampiasana ny ohatry ny olana etsy ambony momba ny tsaoka sy ny "hodgepodge". Atombohy amin'ny fanehoan-kevitra:

CaCO3 + 2HCl = CaCl2 + H2O

Hitantsika avy amin'izany fa mila ny lanjan'ny atomika amin'ireto singa manaraka ireto isika: kalsioma (Ca), karbônina (C), oksizenina (O), hydrogen (H) ary chlorine (Cl). Amin'ny tranga tsotra indrindra, azontsika atao ny manoratra ireo lanja ireo amin'ny laharan-tariby iray voafaritra ho

AtomicMass : array [1..size] of real;

izay mifanandrify amin'ny laharan'ny singa ny mari-pamantarana array. Bebe kokoa momba ny habaka malalaka amin'ny endrika tableDlg mametraka saha roa. Ao amin'ny saha voalohany dia nosoratana tamin'ny voalohany hoe: "Omena ny reagent voalohany", amin'ny faharoa - "Ny reagent faharoa dia ny mahita x". Andao hanondro ny saha réagent1, réagent2 tsirairay avy. Ny fanampim-panampiana hafa amin'ny fandaharana dia hazava amin'ny ohatra manaraka momba ny kajy.

Manoratra amin'ny fitendry solosaina: 10 g ny soratra réagent1 fiovana: "Omena 10 g ny reagent voalohany." Ankehitriny dia miditra ny raikipohy amin'ity reagent ity isika, ary ny kajy dia hanao kajy sy hampiseho ny lanjan'ny molekiolany rehefa miditra ao ianao.

Kitiho ny LMB eo amin'ny selan'ny latabatra misy marika Ca. Inscription amin'ny saha réagent1 fiovana: "Reagent voalohany Ca 40.078 nomena 10 g."

Kitiho ny LMB eo amin'ny sela latabatra misy marika C. Inscription ao amin'ny saha réagent1 fiovana: "Reagent voalohany CaC 52.089 nomena 10 g." Ireo. Nampidirin'ny kajy ny lanjan'ny atômika amin'ny kalsioma sy karbaona.

Kitiho ny LMB eo amin'ny sela latabatra misy marika O. Inscription ao amin'ny saha réagent1 fiovana: "Reagent voalohany CaCO 68.088 nomena 10 g." Nampidirin'ny kajy ny lanjan'ny oksizenina atomika tamin'ny fitambarany.

Kitiho ny LMB eo amin'ny sela latabatra misy marika O. Inscription ao amin'ny saha réagent1 fiovana: "Reagent voalohany CaCO2 84.087 nomena 10 g." Nampidirin'ny kajy indray ny lanjan'ny oksizenina atomika tamin'ny fitambarany.

Kitiho ny LMB eo amin'ny sela latabatra misy marika O. Inscription ao amin'ny saha réagent1 fiovana: "Reagent voalohany CaCO3 100.086 nomena 10 g." Nampidirin'ny kajy indray ny lanjan'ny oksizenina atomika tamin'ny fitambarany.

Tsindrio ny Enter amin'ny fitendry solosainao. Ny fampidirana ny reagent voalohany dia vita ary mifamadika amin'ny saha réagent2. Mariho fa amin'ity ohatra ity dia manome dikan-teny kely indrindra izahay. Raha tianao, dia afaka mandamina mora foana multipliers ny atôma mitovy karazana, ka, ohatra, dia tsy mila manindry impito misesy eo amin'ny oksizenina sela rehefa miditra ny chromium raikipohy (K2Cr2O7).

Kitiho ny LMB eo amin'ny sela latabatra misy marika H. Inscription eo amin'ny saha réagent2 fiovana: "Reagent faharoa H 1.008 mahita x."

Kitiho ny LMB eo amin'ny sela latabatra misy marika Cl. Inscription amin'ny saha réagent2 fiovana: "Reagent faharoa HCl 36.458 mahita x." Nampidirin'ny kajy ny lanjan'ny atomika amin'ny hidrôzenina sy ny klôro. Ao amin'ny equation fanehoan-kevitra etsy ambony, ny klôro hydrogène dia ialohavan'ny coefficient 2. Noho izany, tsindrio ny LMB eo amin'ny saha. réagent2. Mitombo avo roa heny ny lanjan'ny molekiola (intelo rehefa tsindriana indroa, sns.). Inscription amin'ny saha réagent2 fiovana: "Reagent faharoa 2HCl 72.916 mahita x."

Tsindrio ny Enter amin'ny fitendry solosainao. Vita ny fidirana amin'ny reagent faharoa, ary ny kajy dia mahita x avy amin'ny ampahany

Tabilao ara-potoana momba ny siansa informatika an-tsekoly

Izay no nilainay notadiavina.

Fanamarihana 1. Ny dikan'ny ampahan'ny vokatra: ho an'ny fandravana 100.086 Da Ny tsaoka dia mila asidra 72.916 Da, ary ny famongorana 10 g ny tsaoka dia mila x asidra.

Fanamarihana 2. Ny fanangonana olana mitovy amin'izany:

Khomchenko I. G., fanangonana olana sy fanazaran-tena amin'ny simia 2009 (kilasy 8-11).
Khomchenko G. P., Khomchenko I. G., fanangonana olana momba ny simia ho an'ny mpangataka amin'ny oniversite, 2019.

Fanamarihana 3. Mba hanatsorana ny asa dia azonao atao ny manatsotra ny fidiran'ny raikipohy amin'ny dikan-teny voalohany ary ampio fotsiny ny marika singa amin'ny faran'ny tsipika formula. Avy eo ny raikipohy karbôna kalsioma dia:
CaCOOO
Saingy tsy tian'ny mpampianatra simia ny fandraisam-peo toy izany. Tsy sarotra ny manao ny fidirana marina - mba hanaovana izany dia mila manampy array ianao:

formula : array [1..size] of integer;

izay ny tondro dia ny isan'ny singa simika, ary ny sanda amin'ity tondro ity dia ny isan'ny atôma (amin'ny voalohany dia averina amin'ny aotra ny singa rehetra ao amin'ny array). Tokony hojerena ny filaharan'ny ataoma amin'ny rôlôjia, araka ny nalaina tao amin'ny simia. Ohatra, vitsy ny olona ho tia O3CaC. Andao hafindra amin'ny mpampiasa ny andraikitra. Mamorona array:

 formulaOrder : array [1..size] of integer; // можно взять покороче

izay hanoratantsika ny isan'ny singa simika araka ny tondro ny fisehoany ao amin'ny formula. Manampy atoma currNo ao amin'ny formula:

if formula [currNo]=0 then //этот атом встретился первый раз
 begin
 orderIndex := orderIndex+1;//в начале ввода формулы orderIndex=0
 formulaOrder [orderIndex] :=  currNo;
 end;
formula [currNo]:=formula [currNo]+1;

Manoratra ny formula amin'ny tsipika:

s := ''; // пустая строка для формулы
for i:=1 to  orderIndex do // для всех хим.символов в формуле 
 begin
 s:=s+TableSymbols [ formulaOrder[i]];// добавляем хим.символ
 if formula [formulaOrder[i]]<>1 then //добавляем кол-во атомов
  s:=s+ intToStr(formula [formulaOrder[i]]);
 end;

Fanamarihana 4. Misy dikany ny manome fahafahana miditra amin'ny formula reagent avy amin'ny klavier. Amin'ity tranga ity, mila mampihatra parser tsotra ianao.

Tsara homarihina fa:

Amin'izao fotoana izao dia misy dikan-teny an-jatony an-jatony ny latabatra, ary manolotra safidy vaovao foana ny mpahay siansa. (Wikipedia)

Azon'ny mpianatra atao ny mampiseho ny fahakingan-tsainy amin'io lalana io amin'ny alalan'ny fampiharana ny iray amin'ireo safidy efa naroso na manandrana manamboatra ny azy manokana. Toa ity no tari-dalana faran'izay mahasoa indrindra ho an'ny lesona momba ny siansa informatika. Na izany aza, amin'ny endriky ny Table Periodic ampiharina amin'ity lahatsoratra ity, ny mpianatra sasany dia mety tsy hahita ny tombony manokana amin'ny karatra fanaraha-maso amin'ny vahaolana hafa amin'ny fampiasana bokotra mahazatra. TButton. Ny endrika spiral amin'ny latabatra (izay misy ny sela samy hafa endrika) dia hampiseho mazava kokoa ny tombony amin'ny vahaolana atolotra eto.

Tabilao ara-potoana momba ny siansa informatika an-tsekoly
(Rafitra singa hafa nataon'i Theodore Benfey, loharano)

Andeha hojerentsika ihany koa fa misy programa informatika efa misy amin'izao fotoana izao ho an'ny Table Periodic dia voafaritra ao amin'ny Habré vao haingana. lahatsoratra.

Fanampiny 2: ohatra momba ny asa ho an'ny sivanaAmin'ny fampiasana sivana azonao atao ny mamaha, ohatra, ireto asa manaraka ireto:

1) Fidio eo amin'ny latabatra ny singa rehetra fantatra tamin'ny Moyen Âge.

2) Fantaro ireo singa rehetra fantatra tamin'ny fotoana nahitana ny Lalàna ara-potoana.

3) Fantaro ireo singa fito izay noheverin'ny alchemista ho metaly.

4) Safidio ny singa rehetra ao anaty entona amin'ny toe-javatra mahazatra (n.s.).

5) Safidio ny singa rehetra izay ao anaty ranon-javatra amin'ny no.

6) Safidio ny singa rehetra izay ao amin'ny fanjakana matanjaka amin'ny no.

7) Safidio ny singa rehetra mety ho tratran'ny rivotra mandritra ny fotoana maharitra tsy misy fiovana hita maso amin'ny toe-javatra mahazatra.

8) Fidio ny metaly rehetra izay levona amin'ny asidra hydrochloric.

9) Fidio ny metaly rehetra izay levona amin'ny asidra solifara amin'ny no.

10) Fidio ny metaly rehetra izay levona amin'ny asidra solifara rehefa mafana.

11) Fidio ny metaly rehetra izay levona amin'ny asidra azota.

12) Atokana ny metaly rehetra izay mihetsika mahery vaika amin'ny rano amin'ny toe-javatra manodidina.

13) Safidio ny metaly rehetra.

14) Fantaro ireo singa miely patrana eo amin'ny natiora.

15) Fantaro ireo singa hita ao amin'ny natiora ao anatin'ny fanjakana malalaka.

16) Fantaro ireo singa manana anjara toerana lehibe indrindra amin'ny vatan'olombelona sy ny biby.

17) Mifidiana singa izay ampiasaina betsaka amin'ny fiainana andavanandro (amin'ny endrika maimaim-poana na amin'ny fitambarana).

18) Fantaro ireo singa mampidi-doza indrindra amin'ny fiasana ary mitaky fepetra manokana sy fitaovana fiarovana.

19) Fantaro ireo singa izay, amin'ny endrika maimaim-poana na amin'ny endrika fitambarana, dia miteraka loza lehibe indrindra amin'ny tontolo iainana.

20) Mifidiana metaly sarobidy.

21) Fantaro ireo singa izay lafo kokoa noho ny metaly sarobidy.

-tsoratra

1) Misy dikany ny manome sivana maromaro. Ohatra, raha manokatra sivana ianao hamahana ny olana 1 (ny singa rehetra fantatra tamin'ny Moyen Âge) sy ny 20 (metaly sarobidy), dia hasongadina ny sela misy metaly sarobidy fantatra tamin'ny Moyen Âge (ohatra, amin'ny loko) ( ohatra, tsy hasongadina ny palladium, nosokafana tamin'ny 1803).

2) Misy dikany ny miantoka fa ny sivana maromaro dia miasa amin'ny fomba toy izany ka ny sivana tsirairay dia mifidy sela misy lokony manokana, fa tsy manala tanteraka ny fisafidianana sivana hafa (ampahany amin'ny sela amin'ny loko iray, ampahany amin'ny hafa). Avy eo, raha ny ohatra teo aloha, dia ho hita ny singa amin'ny fihaonan'ny seta hita tamin'ny Moyen Âge sy ny metaly sarobidy, ary koa ny singa tsy misy afa-tsy ny voalohany sy ny andiany faharoa ihany. Ireo. metaly sarobidy tsy fantatra tamin'ny Moyen Âge, ary ny singa fantatra tamin'ny Moyen Âge fa tsy metaly sarobidy.

3) Misy dikany izany aorian'ny fampiharana ny sivana mba hahazoana antoka ny mety hisian'ny asa hafa miaraka amin'ny vokatra azo. Ohatra, manana singa voafantina fantatra tamin'ny Moyen Âge, ny mpampiasa dia manindry LMB amin'ny singa voafantina ary entina any amin'ny lahatsoratra Wikipedia momba ity singa ity.

4) Misy dikany ny manome ny mpampiasa ny fahafahana manafoana amin'ny alàlan'ny fanindriana ny LMB eo amin'ny sela latabatra voafantina. Ohatra, hanesorana ireo zavatra efa hita.

5) Misy dikany ny miantoka fa ny lisitr'ireo sela voafantina dia voatahiry ao anaty rakitra iray ary ny rakitra toy izany dia feno amin'ny fisafidianana sela mandeha ho azy. Izany dia hanome ny mpampiasa fahafahana haka aina amin'ny asa.

Nampiasa sarintany fanaraha-maso efa voafaritra mialoha izahay, saingy misy asa lehibe maro azo ampiasaina amin'ny sarintany fanaraha-maso mavitrika izay miova rehefa mandeha ny fandaharana. Ohatra iray ny tonian-dahatsoratra, izay ampiasain'ny mpampiasa ny totozy mba hanondroana ny toeran'ny vertices eo amin'ny varavarankely iray ary hanisy sisiny eo anelanelan'izy ireo. Mba hamafa vertex na sisiny dia tsy maintsy manondro azy ny mpampiasa. Saingy raha toa ka mora kokoa ny manondro ny tendrony voamarika amin'ny faribolana, dia ho sarotra kokoa ny manondro ny sisiny misy tsipika manify. Hanampy eto ny sarintany fanaraha-maso, izay misy vertices sy sisiny mibodo faritra midadasika kokoa noho ny hita maso.

Fanontaniana iray mahaliana mifandraika amin'ity fomba fanofanana sarotra ity dia ny hoe: mety ho ilaina amin'ny fanofanana AI ve ity fomba ity?

Source: www.habr.com

Add a comment