Open Compute Project e nts'etsapele sebopeho se kopaneng sa li-chiplets

Li-chips tse nang le likristale tse 'maloa ka har'a sephutheloana se le seng ha li sa le ncha. Ho feta moo, litsamaiso tse fapaneng tse kang AMD Roma li ntse li hapa 'maraka ka mafolofolo. Motho ea shoang ka li-chips tse joalo hangata o bitsoa "chiplet".

Tšebeliso ea li-chiplets e u lumella ho ntlafatsa ts'ebetso ea tekheniki le ho fokotsa litšenyehelo tsa tlhahiso ea li-processor tse rarahaneng; Mosebetsi oa ho lekanya le ona o nolofalitsoe haholo. Theknoloji ea Chiplet e na le litšenyehelo tsa eona, empa morero oa Open Compute e fana ka tharollo. OCP, rea u hopotsa, sena ke mokhatlo, moo barupeluoa ba eona ba arolelanang tsoelo-pele lefapheng la software le hardware moralo oa litsi tsa morao-rao tsa data le lisebelisoa bakeng sa bona. Re bua ka eena hangata bolelletsoe ho babali ba rona.

Open Compute Project e nts'etsapele sebopeho se kopaneng sa li-chiplets

Batho ba bangata ba sebelisa li-chiplets matsatsing ana. Ha se AMD feela e tlohileng ho li-processor tsa monolithic ho "processors tse pakiloeng", Intel Stratix 10 kapa Huawei Kunpeng chips li na le sebopeho se ts'oanang. Ho ka bonahala eka mohaho oa modular, oa li-chiplet o lumella ho feto-fetoha ha maemo, empa hajoale ha ho joalo - bahlahisi bohle ba sebelisa mokhoa oa bona oa ho hokahanya (mohlala, bakeng sa AMD ke Infinity Fabric). Ka hona, likhetho tsa sebopeho sa chip li lekanyelitsoe ho arsenal ea moetsi a le mong. Ha e le hantle, li-chiplets tse tsoang ho baetsi ba selekane kapa ba tlaase li ka sebelisoa.

Open Compute Project e nts'etsapele sebopeho se kopaneng sa li-chiplets

Intel e leka ho rarolla bothata bona ka ho sebelisana le DARPA le ho khothaletsa maemo a bulehileng Bese e tsoetseng pele ea Interface (AIB). O na le pono ea hae ea taba Hlakola Pholiso ea Morero: morao koana ka 2018, consortium e thehile sehlopha se senyenyane Open Domain-Specific Architecture (ODSA), ba kopanela thutong ea bothata bona. Mokhoa oa OCP o pharalletse ho feta oa Intel; sepheo sa lefats'e ke kopano e felletseng ea mmaraka oa chipset. Sena se lokela ho nolofatsa ka hohle kamoo ho ka khonehang ho theha litharollo tse khethehileng tsa meralo tse ka kopanyang li-chiplets tsa mefuta e fapaneng le bahlahisi: li-tensor coprocessors, li-accelerator tsa marang-rang le li-cryptographic, esita le li-ASIC bakeng sa meepo ea chelete ea crypto.


Open Compute Project e nts'etsapele sebopeho se kopaneng sa li-chiplets

Tsoelo-pele ea ODSA e tiile: haeba nakong ea seboka sa pele sa sehlopha sa 2018, lik'hamphani tse supileng feela tsa tsoelo-pele li ne li kenyelelitsoe ho eona, joale joale palo ea barupeluoa e batla e fihla ho lekholo. Mosebetsi o ntse o tsoela pele, empa ho na le mathata a mangata a lokelang ho rarolloa: mohlala, bothata ha se feela khaello ea sehokelo se kopaneng - hoa hlokahala ho nts'etsapele le ho amohela maemo a lumellang ho kopanya li-chiplets ka ts'ebetso e fapaneng, ho rarolla mathata le ho paka le ho hlahloba litharollo tse entsoeng ka li-chiplet tse ngata, ho fana ka lisebelisoa tsa nts'etsopele, le ho utloisisa litaba tse amanang le thepa ea mahlale, le tse ling tse ngata.

Ho fihlela joale, 'maraka oa litharollo tse thehiloeng ho li-chiplets tse tsoang ho bahlahisi ba fapaneng o sa le monyenyane. Ke nako feela e tla bolela hore na ke mokhoa ofe o tla hlola.



Source: 3dnews.ru

Eketsa ka tlhaloso