sehlooho: Blog

Semolao: Facebook e tla lefa $ 5 bilione bakeng sa ho lutla ha tlhahisoleseling

US Federal Trade Commission e nkile qeto ea ho lefisa Facebook Inc. ka chelete ea liranta tse limilione tse likete tse 5. Lebaka e ne e le tlōlo ea lintlha tse 'maloa tse amanang le data ea mosebedisi. Re bua ka ho lutla ha data e nyarosang ho Cambridge Analytica le lipatlisiso tse telele mabapi le ketsahalo ena. Khampani e se e lumetse ho lefa tefo, hammoho le ho fetola leano la lekunutu la data ho marang-rang a sechaba. Ka bonna […]

Korea Boroa e nolofatsa licheke tsa boleng bakeng sa barekisi ba moetsi oa li-chip har'a lithibelo tsa Majapane

'Muso oa Korea Boroa o lumelletse baetsi ba li-chip ba malapeng joalo ka Samsung Electronics ho fana ka lisebelisoa tsa bona ho etsa liteko tsa boleng ba lihlahisoa tse fanoang ke barekisi ba lehae. Ba boholong naheng ena ba tšepisitse ho ts'ehetsa barekisi ba malapeng ba lihlahisoa tsa Samsung le SK Hynix kamora hore Japane e hlahise lithibelo mabapi le ho romelloa ha thepa ea theknoloji e phahameng e sebelisoang tlhahisong ea li-smartphones le li-memory chips Korea Boroa. “Hangata haeba u […]

Batho ba tsoang MachineGames ba thehile studio Bad Yolk Games

Basebetsi ba mehleng ba MachineGames Mihcael Paixao le Joel Jonsson ba phatlalalitse ho thehoa ha studio ea Bad Yolk Games Sweden. Bad Yolk Games e na le baetsi ba lipapali ba 10 AAA ba nang le kakaretso ea merero e lokolotsoeng e 14 tlas'a lebanta la bona, ho kenyeletsoa Chronicles of Riddick, EVE Online, Gears of War, The Division ea Tom Clancy le The Darkness. Studio e ikemiselitse ho […]

Pegatron e tla haha ​​​​Google Glass ea moloko oa boraro

Mehloli ea Marang-rang e tlaleha hore Pegatron e kene molemong oa phepelo bakeng sa Google Glass ea moloko oa boraro, e nang le "moralo o bobebe" ha o bapisoa le mefuta e fetileng. Nakong e fetileng, Google Glass e ne e kopantsoe feela ke Quanta Computer. Ba boholong ho tloha Pegatron le Quanta Computer ho fihlela joale ba hanne ho fana ka maikutlo mabapi le bareki kapa litaelo. Lintlha tsa molaetsa […]

Lenovo e tla khutlela 'marakeng oa li-smartphone tsa Russia

Khampani ea Chaena Lenovo e tla tsosolosa thekiso ea li-smartphone tlas'a lebitso la eona 'marakeng oa Russia. Sena se tlalehiloe ke Kommersant, a qotsa tlhahisoleseling e fumanoeng ho batho ba nang le tsebo. Ka Pherekhong 2017, Lenovo e ne e le moetapele har'a mefuta eohle ea Machaena 'marakeng oa li-smartphone tsa Russia ka 7% ea indasteri ka likarolo. Empa e se e le ka Mmesa selemong sona seo, ho romelloa semmuso ha lisebelisoa tsa cellular tsa Lenovo […]

Honor 9X le 9X Pro debut: skrineng-ho-qetellong le kh'amera ea pop-up e qalang ho $200

Letšoao la Honor, leo e leng la Huawei, le phatlalalitse ka molao li-smartphones tsa 9X le 9X Pro, tse sa tsoa fetoha taba ea menyenyetsi e mengata. Lisebelisoa li na le moralo o ts'oanang. E na le ponts'o e felletseng ea HD+ (2340 × 1080 pixels) e nang le diagonal ea 6,59 inches le karolo ea 19,5: 9. Skrine ha se na notch kapa lesoba ka holimo. Khamera e ka pele e entsoe ka mokhoa oa [...]

Tlholisano e latelang ea moralo oa Hyperloop e tla etsahala ka kotopo e kobehileng ea limaele tse tšeletseng

Motsamaisi oa SpaceX Elon Musk o phatlalalitse qeto ea ho fetola maemo a tlholisano bakeng sa nts'etsopele ea terene ea vacuum ea Hyperloop, eo k'hamphani ea hae ea SpaceX e 'nileng ea e etsa lilemong tse' nè tse fetileng. Selemong se tlang, mabelo a li-capsule tsa mohlala a tla etsahala ka kotopo e kobehileng e bolelele ba lik'hilomithara tse 9,7, CEO oa SpaceX o boletse ho Twitter ka Sontaha. A re u hopotse hore pele tlhōlisano ena e etsahala [...]

Kubernetes adventure Dailymotion: ho theha meaho marung + sebakeng

Hlokomela Phetolelo: Dailymotion ke e 'ngoe ea litšebeletso tse kholo ka ho fetisisa tsa ho amohela livideo lefatšeng ka bophara ka hona ke mosebelisi ea tsebahalang oa Kubernetes. Tlhahisoleseding ena, moqapi oa tsamaiso David Donchez o arolelana liphello tsa ho theha sethala sa tlhahiso ea k'hamphani e thehiloeng ho K8s, e qalileng ka ho kenngoa ha leru ho GKE 'me e felile e le tharollo ea lebasetere, e leng se ileng sa lumella linako tse molemo tsa ho arabela le ho boloka litšenyehelo tsa mekhoa ea litšebeletso. […]

AMD e khona ho felisa barekisi ba etsang chelete ka ho hlophisa li-processor bakeng sa overclocking

Theknoloji ea tlhahiso ea boima ba li-processor pele e ne e fana ka sebaka se seholo bakeng sa ba batlang ho fumana ts'ebetso e eketsehileng ka chelete e fokolang. Li-processor tsa mefuta e fapaneng ea lelapa le le leng li ne li "khaotsoe" ho tsoa ho li-wafers tse tloaelehileng tsa silicon, bokhoni ba tsona ba ho sebetsa ka maqhubu a phahameng kapa a tlase bo ne bo khethoa ke liteko le ho hlopha. Overclocking e entse hore ho khonehe ho koahela phapang pakeng tsa mefuta e mecha le ea khale, kaha li-processor tse theko e tlaase li lula li le teng [...]

Sebopeho see se tsoa hokae? [Debian/Ubuntu]

Morero oa poso ena ke ho bonts'a mokhoa oa ho lokisa liphoso ho debian/ubuntu o amanang le "ho fumana mohloli" faeleng ea tlhophiso ea sistimi. Mohlala oa teko: ka mor'a ho soma haholo ka kopi ea tar.gz ea OS e kentsoeng le ka mor'a ho e tsosolosa le ho kenya lisebelisoa, re fumana molaetsa: update-initramfs: Ho hlahisa /boot/initrd.img-4.15.0-54-generic W: tlhophiso ea li-initramfs-tools RESUME=/dev/mapper/U1563304817I0-swap W: empa ha ho sesebelisoa se tšoanang se fumanehang. I: Li-initramfs […]

Sistimi e bonolo ea taolo ea database

Ke kopa ho arolelana phihlelo ea ka ho nts'etsopele ea ho sebelisa mekhoa ea polokelo ea boitsebiso sekolong sa marang-rang sa GLASHA. Sekolo se thehiloe ka 2012 mme qalong ea mosebetsi oa sona baithuti bohle ba 12 ba ile ba ithuta moo, ka hona ho ne ho se na mathata a ho tsamaisa kemiso le litefo. Leha ho le joalo, ka kholo, nts'etsopele le ho hlaha ha liithuti tse ncha, potso ea ho khetha tsamaiso ea motheo [...]

Tlhahlobo ea ts'ebetso ea VM ho VMware vSphere. Karolo ea 3: Polokelo

Karolo ea 1. Mabapi le CPU Karolo ea 2. Mabapi le Memori Kajeno re tla sekaseka metrics ea disk subsystem ho vSphere. Bothata ba polokelo ke lebaka le atileng haholo bakeng sa mochini o liehang ho sebetsa. Haeba, tabeng ea CPU le RAM, ho rarolla mathata ho fella boemong ba hypervisor, joale haeba ho na le mathata ka disk, u ka 'na ua tlameha ho sebetsana le marang-rang a data le tsamaiso ea polokelo. Ke tla tšohla sehlooho [...]