Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Umfanekiso: Unsplash

Molweni nonke! Siziinjineli ezizenzekelayo kwinkampani IiTekhnoloji eziPositive kwaye sibonelela ngenkxaso yophuhliso lweemveliso zenkampani: sixhasa umbhobho wendibano yonke ukusuka ekuzibopheleleni komgca wekhowudi ngabaphuhlisi ukuya kupapasho lweemveliso ezigqityiweyo kunye neelayisensi kwiiseva zohlaziyo. Ngokungekho sikweni, sibizwa ngokuba ziinjineli zeDevOps. Kweli nqaku sifuna ukuthetha ngezigaba zobuchwepheshe zenkqubo yokuvelisa isoftware, indlela esizibona ngayo kunye nendlela esizihlela ngayo.

Ukusuka kwimathiriyeli uya kufunda malunga nobunzima bokulungelelanisa uphuhliso lweemveliso ezininzi, yintoni imephu yetekhnoloji kwaye inceda njani ukulungelelanisa kunye nokuphindaphinda izisombululo, zeziphi izigaba eziphambili kunye namanyathelo enkqubo yophuhliso iqulethwe, ukuba imimandla yoxanduva yahlulwe njani. phakathi kwe-DevOps kunye namaqela kwinkampani yethu.

Malunga ne-Chaos kunye ne-DevOps

Masiqaphele ngokufutshane ukuba ingcamango ye-DevOps ibandakanya izixhobo zophuhliso kunye neenkonzo, kunye neendlela kunye neendlela ezifanelekileyo zokusetyenziswa kwazo. Masiqaqambise ihlabathi injongo ukusuka ekuphunyezweni kweengcamango ze-DevOps kwinkampani yethu: oku kukunciphisa okuhambelanayo kwindleko yokuvelisa kunye nokugcinwa kwemveliso ngokwemiqathango yobuninzi (iiyure zomntu okanye iiyure zoomatshini, i-CPU, i-RAM, iDiski njl.). Eyona ndlela ilula necacileyo yokunciphisa iindleko zizonke zophuhliso kwinqanaba lenkampani ngokubanzi kuku ukunciphisa iindleko zokwenza imisebenzi eqhelekileyo kuwo onke amanqanaba emveliso. Kodwa ziphi na ezi zigaba, zinokuthi zihluke njani kwinkqubo eqhelekileyo, ngawaphi amanyathelo aquka?

Xa inkampani iphuhlisa imveliso enye, yonke into icacile ngakumbi okanye ingaphantsi: ngokuqhelekileyo kukho imephu yendlela kunye neskimu sophuhliso. Kodwa yintoni onokuyenza xa umgca wemveliso usanda kwaye kukho iimveliso ezininzi? Ekuboneni kokuqala, baneenkqubo ezifanayo kunye nemigca yendibano kunye nomdlalo "wokufumana ukuhlukana kwe-X" kwiilogi kunye nezikripthi ziqala. Kuthekani ukuba sele kukho iiprojekthi ezi-5+ kuphuhliso olusebenzayo kunye nenkxaso yeenguqulelo ezininzi eziphuhliswe kwiminyaka emininzi ziyafuneka? Ngaba sifuna ukuphinda sisebenzise izisombululo ezininzi kangangoko kunokwenzeka kwimibhobho yemveliso okanye ngaba sikulungele ukuchitha imali kuphuhliso olulodwa ngalunye?

Indlela yokufumana ulungelelwaniso phakathi kokukodwa kunye nokulandelelana kwezisombululo?

Le mibuzo yaqala ukuvela phambi kwethu ngakumbi nangakumbi ukuqala ngo-2015. Inani leemveliso lakhula, kwaye sazama ukwandisa isebe lethu lokuzenzekelayo (i-DevOps), exhasa imigca yendibano yale mveliso, ubuncinane. Ngelo xesha, ndandifuna ukuphindaphinda izisombululo ezininzi kangangoko kunokwenzeka phakathi kweemveliso. Ngapha koko, kutheni ukwenza into efanayo kwiimveliso ezilishumi ngeendlela ezahlukeneyo?

UMlawuli woPhuhliso: "Bafo, singayivavanya ngandlela ithile ukuba iDevOps yenzela ntoni iimveliso?"

Siyiyo: "Asazi, asizange sibuze lo mbuzo, kodwa zeziphi izikhombisi ekufuneka zibalwe?"

UMlawuli woPhuhliso: "Ngubani owaziyo! Cinga..."

Njengoko kuloo filimu idumileyo: "Ndiya ehotele! .." - "Uh ... Ngaba unokundibonisa indlela?" Emva kokucinga, safikelela kwisigqibo sokuba kufuneka siqale sithathe isigqibo malunga neemeko zokugqibela zeemveliso; oku yaba lusukelo lwethu lokuqala.

Ke, ungazihlalutya njani iimveliso ezilishumi elinambini kunye namaqela amakhulu ngokufanelekileyo abantu abali-10 ukuya kuma-200 kwaye umisele iimetriki ezinokulinganiswa xa uphinda izisombululo?

1:0 ngokuthanda iChaos, okanye iDevOps kwiiblades

Siqale ngokuzama ukusebenzisa imizobo ye-IDEF0 kunye nemizobo eyahlukeneyo yenkqubo yeshishini ukusuka kuthotho lweBPwin. Ukudideka kwaqala emva kwesikwere sesihlanu senqanaba elilandelayo leprojekthi elandelayo, kwaye ezi zikwere zeprojekthi nganye zinokutsalwa emsileni wepithon ende ngamanyathelo angama-50+. Ndaziva ndilusizi kwaye ndifuna ukukhala enyangeni - yayingangeni kwaphela.

Imisebenzi yemveliso eqhelekileyo

Iinkqubo zokuvelisa imodeli ngumsebenzi onzima kakhulu kunye nobuhlungu: kufuneka uqokelele, usebenze kwaye uhlalutye idatha eninzi evela kumasebe ahlukeneyo kunye namatyathanga okuvelisa. Unokufunda ngakumbi malunga noku kwinqaku elithi "Imodeli yeenkqubo zemveliso kwinkampani ye-IT».

Ukuqala kwethu ukwenza imodeli yenkqubo yethu yokuvelisa, sasinenjongo ethile - ukudlulisela kuye wonke umsebenzi obandakanyekayo kuphuhliso lweemveliso zenkampani yethu nakubaphathi beprojekthi:

  • indlela iimveliso kunye namacandelo azo, ukuqala kwisivumelwano somgca wekhowudi, ukufikelela kumthengi ngendlela yabafaki kunye nohlaziyo,
  • zeziphi izibonelelo ezibonelelwa kwinqanaba ngalinye lemveliso yemveliso,
  • zeziphi iinkonzo ezibandakanyekayo kwinqanaba ngalinye,
  • indlela imimandla yoxanduva eyahlulwe ngayo kwinqanaba ngalinye,
  • zeziphi iikhontrakthi ezikhoyo kwigalelo kunye nesiphumo senqanaba ngalinye.

Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Cofa kumfanekiso ukuze uvule ubungakanani obupheleleyo

Umsebenzi wethu kwinkampani wahlulwe kwiindawo ezininzi zokusebenza. Isebe leziseko zophuhliso lizixakekise ekwandiseni ukusebenza kwazo zonke izixhobo zesebe, kunye nokwenza ngokuzenzekela ukusasazwa koomatshini benyani kunye nokusingqongileyo kubo. Isikhokelo sokubeka iliso sibonelela ngolawulo lokusebenza kweenkonzo 24/7; Sikwabonelela ngohlolo njengenkonzo kubaphuhlisi. Isikhokelo sokuhamba komsebenzi sibonelela amaqela ngezixhobo zokulawula uphuhliso kunye neenkqubo zokuvavanya, ukuhlalutya isimo sekhowudi, kunye nokufumana uhlalutyo kwiiprojekthi. Kwaye okokugqibela, isikhokelo sewebhu siqinisekisa ukupapashwa kokukhutshwa kwi-GUS kunye neeseva zohlaziyo ze-FLUS, kunye nokukhutshwa kwelayisenisi yeemveliso kusetyenziswa inkonzo yeLayisensiLab. Ukuxhasa umbhobho wemveliso, siseka kwaye sigcine iinkonzo ezininzi ezahlukeneyo zenkxaso kubaphuhlisi (ungamamela amabali malunga nabanye babo kwiindibano zakudala: Op!DevOps! 2016 и Op!DevOps! 2017). Siphinde siphuhlise izixhobo ezizenzekelayo zangaphakathi, kuquka izisombululo zomthombo ovulekileyo.

Kule minyaka mihlanu idlulileyo, umsebenzi wethu uye waqokelela imisebenzi emininzi efanayo kunye neyesiqhelo, kwaye oko kubizwa ngokuba imisebenzi eqhelekileyo, isisombululo esipheleleyo okanye ngokuyinxenye ngokuzenzekelayo, asibangeli ubunzima kubadlali kwaye asifuni inani elikhulu lomsebenzi. Kunye neendawo eziphambili, sihlalutye imisebenzi enjalo kwaye sakwazi ukuchonga iindidi zomsebenzi ngamnye, okanye izigaba zemveliso, izigaba zahlulwe zibe ngamanyathelo angenakuhlukaniswa, kwaye izigaba ezininzi ziyadibanisa ikhonkco lenkqubo yemveliso.

Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Owona mzekelo ulula wekhonkco lobuchwepheshe zizigaba zokuhlanganisa, ukuthunyelwa kunye nokuvavanya nganye yeemveliso zethu ngaphakathi kwenkampani. Ngokomzekelo, inqanaba lokwakha linamanyathelo amaninzi ahlukeneyo ahlukeneyo: ukukhuphela imithombo evela kwi-GitLab, ukulungiselela ukuxhomekeka kunye nethala leencwadi leqela lesithathu, uvavanyo lweyunithi kunye nohlalutyo lwekhowudi emileyo, ukwenza iskripthi sokwakha kwiGitLab CI, ukupapasha izinto zakudala kwindawo yokugcina izinto. I-Artifactory kunye nokuvelisa amanqaku okukhululwa ngesixhobo sethu sangaphakathi seChangelogBuilder.

Unokufunda malunga nemisebenzi eqhelekileyo ye-DevOps kwamanye amanqaku ethu kuHabré: “Amava obuqu: ukuba injani inkqubo yethu yoHlanganiso oluqhubekayo"Kwaye"Ukuzenzekela kweenkqubo zophuhliso: indlela esiphumeze ngayo izimvo zeDevOps kwiPositive Technologies».

Uninzi lwamakhonkco emveliso aqhelekileyo enza inkqubo yokuvelisa. Indlela esemgangathweni yokuchaza iinkqubo kukusebenzisa imifuziselo ye-IDEF0 esebenzayo.

Umzekelo wokulinganisa inkqubo yeCI yokuvelisa

Sinike ingqwalasela eyodwa kuphuhliso lweeprojekthi eziqhelekileyo zenkqubo yokudibanisa eqhubekayo. Oku kwenze ukuba kube nokwenzeka ukuphumeza umanyano lweeprojekthi, kuqaqambisa okubizwa ngokuba ukukhulula umzobo wokwakha ngokunyusa.

Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Nantsi indlela esebenza ngayo. Zonke iiprojekthi zibukeka njengesiqhelo: zibandakanya ukucwangciswa kweendibano eziya kwi-snapshot repository kwi-Artifactory, emva koko zisetyenziswe kwaye zivavanywe kwiibhentshi zokuvavanya, kwaye emva koko ziphakanyiswe kwindawo yokugcina ukukhululwa. Inkonzo ye-Artifactory yindawo enye yokusabalalisa zonke izinto zokwakha phakathi kwamaqela kunye nezinye iinkonzo.

Ukuba senza lula kwaye siyenze ngokubanzi inkqubo yethu yokukhupha, ibandakanya ezi zigaba zilandelayo:

  • ukwakhiwa kwemveliso yeqonga,
  • ukuthunyelwa kwiibhentshi zokuvavanya,
  • ukuqalisa iimvavanyo ezisebenzayo kunye nolunye,
  • ukukhuthazwa kweendibano ezivavanyiweyo ukukhulula iindawo zokugcina kwi-Artifactory,
  • upapasho lokukhupha lwakha ukuhlaziya iiseva,
  • ukuhanjiswa kwezakhiwo kunye nohlaziyo kwimveliso,
  • ukusungula ufakelo kunye nohlaziyo lwemveliso.

Makhe siqwalasele, njengomzekelo, imodeli yetekhnoloji yesi sikimu sokukhululwa esiqhelekileyo (emva koku sibizwa nje ngokuba yiModeli) ngendlela ye-IDEF0 esebenzayo. Ibonisa izigaba eziphambili zenkqubo yethu yeCI. Iimodeli ze-IDEF0 zisebenzisa into ebizwa Ubhalo lwe-ICOM (I-Input-Control-Output-Mechanism) ukuchaza ukuba zeziphi na izibonelelo ezisetyenziswa kwinqanaba ngalinye, ngokusekelwe kwimigaqo kunye neemfuno zomsebenzi owenziwayo, sithini isiphumo kwaye zeziphi iindlela, iinkonzo okanye abantu abaphumeza inqanaba elithile.

Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Cofa kumfanekiso ukuze uvule ubungakanani obupheleleyo

Njengomthetho, kwiimodeli ezisebenzayo kulula ukubola kunye neenkcukacha zenkcazo yeenkqubo. Kodwa njengoko inani leziqalelo likhula, kuya kuba nzima ngakumbi ukuqonda okuthile ngazo. Kodwa ekuphuhlisweni kwangempela kukho izigaba ezincedisayo: ukubeka iliso, ukuqinisekiswa kwemveliso, ukuzenzekelayo kweenkqubo zomsebenzi kunye nabanye. Kungenxa yengxaki yokulinganisa kanye ukuba siyishiyile le nkcazo.

Ukuzalwa kweThemba

Kwincwadi enye safumana iimephu zakudala zaseSoviet ezichaza iinkqubo zobuchwepheshe (ezithi, ngendlela, zisasetyenziswa namhlanje kumashishini amaninzi karhulumente nakwiiyunivesithi). Linda, linda, nathi sinenkqubo yeteknoloji!.. Kukho izigaba, iziphumo, iimetriki, iimfuno, izikhombisi, njl njl .... Kutheni ungazami ukusebenzisa iimephu zobuchwepheshe kubathumeli bemveliso yethu? Kwabakho imvakalelo: “Nantsi ke! Siwufumene umsonto ochanekileyo, lixesha lokuba siwubambe kakuhle! ”

Kwitheyibhile elula, sagqiba ekubeni sirekhode iimveliso ngamakholomu, kunye nezigaba zobuchwepheshe kunye namanyathelo okuhambisa imveliso ngemigca. Izigaba ziyinto enkulu, njengenqanaba lendibano yemveliso. Kwaye amanyathelo ayinto encinci kwaye eneenkcukacha ngakumbi, umzekelo, inyathelo lokukhuphela ikhowudi yomthombo kumncedisi wokwakha okanye inyathelo lokuqokelela ikhowudi.

Kwiindawo ezidityanisiweyo zemiqolo kunye neekholamu zemephu, sibeka i-status kwisigaba esithile kunye nemveliso. Amazwe amaninzi achazwe ngokwemigangatho:

  1. Akukho datha - okanye ayinakwenzeka. Kuyimfuneko ukuhlalutya imfuno yesigaba kwimveliso. Ingaba uhlalutyo sele lwenziwe, kodwa isiteji okwangoku asifunwa okanye asifanelekanga ngokwezoqoqosho.
  2. Imiselwe - okanye ayibalulekanga okwangoku. Eli nqanaba lombhobho liyafuneka, kodwa akukho mandla okuphumeza kulo nyaka.
  3. Kucwangcisiwe. Eli nqanaba licwangciselwe ukuphunyezwa kulo nyaka.
  4. Iphunyeziwe. Inqanaba kumbhobho liphunyezwa kwinqanaba elifunekayo.

Ukuzalisa itheyibhile kwaqala iprojekthi ngeprojekthi. Okokuqala, sahlela amanqanaba kunye namanyathelo eprojekthi enye kwaye sarekhoda iimeko zabo. Emva koko bathatha iprojekthi elandelayo, barekhoda iimeko kuyo kwaye bongeza izigaba kunye namanyathelo awayengekho kwiiprojekthi zangaphambili. Ngenxa yoko, sifumene izigaba kunye namanyathelo ombhobho wethu wonke wemveliso kunye neemeko zabo kwiprojekthi ethile. Isiphumo yinto efana ne-matrix yobuchule yokuhambisa ukutya. Imatrix enjalo siyibiza ngokuba yimephu yobuchwepheshe.

Ngoncedo lwemephu yetekhnoloji, sivumelana ngokubonakalayo kunye namaqela akwizicwangciso zomsebenzi zonyaka kunye neethagethi esifuna ukuzifezekisa kunye: zeziphi izigaba esizongeza kwiprojekthi kulo nyaka, kwaye esizishiya kamva. Kwakhona, njengoko sisebenza, sinokubona ukuphuculwa kwamanyathelo esiwagqibileyo kwimveliso enye kuphela. Emva koko sandisa imephu yethu kwaye sazise olu phuculo njengenqanaba okanye inyathelo elitsha, emva koko senza uhlalutyo kwimveliso nganye kwaye sifumane ukuba kunokwenzeka ukuphindaphinda ukuphuculwa.

Basenokusichasa: “Konke oku kulungile, ewe, kodwa ekuhambeni kwexesha inani lamanyathelo kunye nezigaba ziya kuba zikhulu ngokugqithisileyo. Ndingenza ntoni?

Sazise iinkcazo ezisemgangathweni nezipheleleyo zeemfuno zenqanaba ngalinye kunye nenyathelo ukuze ngaphakathi kwinkampani ziqondwe ngokulinganayo ngumntu wonke. Ngokuhamba kwexesha, njengoko uphuculo luphunyezwa, inyathelo linokuthi lifakwe kwelinye inqanaba okanye inyathelo - ke liya kuwa. Kwangaxeshanye, zonke iimfuno kunye ne-technology nuances zihambelana neemfuno zenqanaba lokwenziwa ngokubanzi okanye inyathelo.

Indlela yokuvavanya umphumo wokuphindaphinda izisombululo? Sisebenzisa indlela elula kakhulu: sifaka iindleko zenkunzi yokuqala yokuphunyezwa kwenqanaba elitsha kwiindleko zemveliso jikelele yonyaka, kwaye sahlule phakathi komntu wonke xa siphindaphinda.

Amacandelo ophuhliso sele ebonakaliswe njengezigaba kunye namanyathelo kwimephu. Sinokuphembelela ukuncitshiswa kweendleko zemveliso ngokungeniswa kwe-automation kwizigaba eziqhelekileyo. Emva koku, sibala utshintsho kwiimpawu zekhwalithi, iimethrikhi zobungakanani kunye nenzuzo efunyenwe ngamaqela (kwiiyure zomntu okanye iiyure zoomatshini ezigciniweyo).

Imephu yezobuchwepheshe yenkqubo yemveliso

Ukuba sithatha zonke izigaba kunye namanyathelo ethu, zifake i-tags kunye nokwandisa kwikhonkco enye, ngoko kuya kuba kude kakhulu kwaye kungaqondakali (ngokufanayo "umsila we-python" esathetha ngawo ekuqaleni kwenqaku) :

[Production] — [InfMonitoring] — [SourceCodeControl] — [Prepare] — [PrepareLinuxDocker] — [PrepareWinDocker] — [Build] — [PullSourceCode] — [PrepareDep] — [UnitTest] — [CodeCoverage] — [StaticAnalyze] — [BuildScenario] — [PushToSnapshot] — [ChangelogBuilder] — [Deploy] — [PrepareTestStand] — [PullTestCode] — [PrepareTestEnv] — [PullArtifact] — [DeployArtifact] — [Test] — [BVTTest] — [SmokeTest] — [FuncTest] — [LoadTest] — [IntegrityTest] — [DeliveryTest] — [MonitoringStands] — [TestManagement] — [Promote] — [QualityTag] — [MoveToRelease] — [License] — [Publish] — [PublishGUSFLUS] — [ControlVisibility] — [Install] — [LicenseActivation] — [RequestUpdates] — [PullUpdates] — [InitUpdates] — [PrepareEnv] — [InstallUpdates] — [Telemetry] — [Workflow] — [Communication] — [Certification] — [CISelfSufficiency]

Ezi ngamanqanaba okudibanisa iimveliso [Yakha], ukuzisa ukuvavanya iiseva [Bekela], uvavanyo [Uvavanyo], ukukhuthaza iindibano ukukhupha iindawo zokugcina ezisekwe kwiziphumo zovavanyo [Yakha], ukuvelisa kunye nokupapasha iilayisensi [Ilayisensi], ukupapasha [Papa] kwiseva yohlaziyo ye-GUS kunye nokuhanjiswa kohlaziyo lweFLUS kwiiseva, ukufakwa kunye nohlaziyo lwamacandelo emveliso kwiziseko ezingundoqo zomthengi kusetyenziswa uLawulo loLungiselelo lweMveliso [Faka], kunye ingqokelela yetelemetry [Telemetry] evela kwiimveliso ezifakelweyo.

Ukongeza kubo, sinokuhlula izigaba ezahlukeneyo: ukubeka esweni imeko yeziseko ezingundoqo [InfMonitoring], ukulawula iinguqulelo zekhowudi yomthombo [SourceCodeControl], ukulungiselela indawo yendibano [Lungisa], ulawulo lweprojekthi [Workflow], ukubonelela amaqela ngezixhobo zonxibelelwano [ Unxibelelwano], ukuqinisekiswa kwemveliso [iSiqinisekiso] kunye nokuqinisekisa ukwaneliseka kweenkqubo zeCI [CISelfSufficiency] (umzekelo, ukuzimela kweendibano kwi-Intanethi). Asiyi kuqwalasela amanyathelo amaninzi kwiinkqubo zethu, kuba zithe ngqo kakhulu.

Kuya kuba lula kakhulu ukuyiqonda kwaye ujonge kuyo yonke inkqubo yokuvelisa ukuba ucinga ngayo kwifom imephu yezobuchwepheshe; Le yitheyibhile apho izigaba zokuvelisa zomntu ngamnye kunye namanyathelo okuchithwa kweModeli zirekhodwa kwimiqolo, kwaye kwiikholomu inkcazo yento eyenziwa kwinqanaba ngalinye okanye kwinqanaba. Ugxininiso oluphambili lukwizibonelelo ezibonelela ngenqanaba ngalinye kunye nokusikelwa umda kwemimandla yoxanduva.

Kuthi, imephu luhlobo lokuhlelwa. Ibonisa iindawo ezinkulu zeteknoloji zemveliso yemveliso. Ndiyabulela kuyo, kuye kwaba lula kwiqela lethu elizenzekelayo ukusebenzisana nabaphuhlisi kunye nokucwangcisa ngokudibeneyo ukuphunyezwa kwezigaba ezizenzekelayo, kunye nokuqonda ukuba zeziphi iindleko zabasebenzi kunye nezibonelelo (umntu kunye ne-hardware) ziya kufuneka kule nto.

Ngaphakathi kwinkampani yethu, imephu yenziwa ngokuzenzekelayo kwitemplate yejinja njengefayile yeHTML eqhelekileyo, kwaye emva koko ifakwe kwiseva yeGitLab yaMaphepha. Umfanekiso weskrini ongumzekelo wemephu eyenziwe ngokupheleleyo unokujongwa ikhonkco.

Ukulawula isiphithiphithi: ukuzisa ucwangco ngoncedo lwemephu yezobuchwepheshe

Cofa kumfanekiso ukuze uvule ubungakanani obupheleleyo

Ngamafutshane, imephu yezobuchwepheshe ngumfanekiso oqhelekileyo wenkqubo yokuvelisa, ebonisa iibhloko ezihlelwe ngokucacileyo kunye nokusebenza okusemgangathweni.

Ubume bemephu yethu yobuchwepheshe

Imephu inamacandelo amaninzi:

  1. Ummandla wesihloko - nantsi inkcazo ngokubanzi yemephu, iingqiqo ezisisiseko ziyaziswa, kwaye izibonelelo eziphambili kunye neziphumo zenkqubo yokuvelisa zichazwe.
  2. Iphaneli yolwazi - apha unokulawula ukuboniswa kwedatha kwiimveliso zomntu ngamnye;
  3. Imephu yezobuchwepheshe - inkcazo yetheyibhile yenkqubo yezobuchwepheshe. Kwimaphu:
    • zonke izigaba, amanyathelo kunye neekhowudi zabo zinikwe;
    • kunikwe iinkcazo ezimfutshane nezipheleleyo zezigaba;
    • izibonelelo zegalelo kunye neenkonzo ezisetyenziswa kwinqanaba ngalinye zibonisiwe;
    • iziphumo zenqanaba ngalinye kunye nesinyathelo somntu ngamnye zibonisiwe;
    • indawo yoxanduva lwenqanaba ngalinye kunye nenyathelo libonisiwe;
    • izibonelelo zobugcisa ziye zamiselwa, umzekelo HDD (SSD), RAM, vCPU, kunye neeyure zomntu eziyimfuneko ukuxhasa umsebenzi kweli nqanaba, zombini ngoku - inyaniso, nakwixesha elizayo - isicwangciso;
    • kwimveliso nganye kuboniswa ukuba zeziphi izigaba zobuchwepheshe okanye amanyathelo aphunyeziweyo, acetywayo ukuphunyezwa, awabalulekanga okanye awaphunyezwanga.

Ukwenza izigqibo ezisekelwe kwimephu yezobuchwepheshe

Emva kokufunda imephu, ungathatha amanyathelo athile, ngokuxhomekeke kwindima yomqeshwa kwinkampani (umphathi wophuhliso, umphathi wemveliso, umphuhlisi okanye umvavanyi):

  • baqonde ukuba zeziphi izigaba ezingekhoyo kwimveliso okanye iprojekthi yokwenene kwaye uvavanye imfuno yokuphunyezwa kwazo;
  • ukusika imimandla yoxanduva phakathi kwamasebe amaninzi ukuba asebenza kumanqanaba ahlukeneyo;
  • uthethathethwano ngeekhontrakthi zamagalelo kunye neziphumo zezigaba;
  • hlanganisa inqanaba lakho lomsebenzi kwinkqubo yophuhliso ngokubanzi;
  • ukuvavanya ngokuchanekileyo ngakumbi imfuno yezibonelelo zokuxhasa isigaba ngasinye.

Ukushwankathela konke oku kungasentla

Imephu yetekhnoloji iyaguquguquka, iyanda kwaye kulula ukuyigcina. Kulula kakhulu ukuphuhlisa nokugcina iinkcazo zenkqubo kule fomu kunemodeli ye-IDEF0 yezemfundo engqongqo. Ukongeza, inkcazo yetheyibhuli ilula, iqhelekile kwaye yakhiwe ngcono kunemodeli esebenzayo.

Isixhobo esikhethekileyo sangaphakathi, i-CrossBuilder, ijongene nokuphunyezwa kobugcisa kwamanyathelo - isixhobo sokubeka phakathi kweenkqubo zeCI, iinkonzo kunye neziseko. Umthuthukisi akadingi ukusika ibhayisekile yakhe: kwinkqubo yethu yeCI kwanele ukuqhuba enye yezikripthi (into ebizwa ngokuba ngumsebenzi) wesixhobo se-CrossBuilder, esiya kuyenza ngokuchanekileyo, ngokuqwalasela iimpawu zeziseko zethu.

Iziphumo

Eli nqaku liye laba lide kakhulu, kodwa oku akunakuphepheka xa kuchazwa umfuziselo weenkqubo ezintsonkothileyo. Ekugqibeleni, ndingathanda ukuchaza ngokufutshane iingcamango zethu eziphambili:

  • Injongo yokuzisa iingcamango ze-DevOps kwinkampani yethu kukunciphisa ngokuqhubekayo iindleko zemveliso kunye nokugcinwa kweemveliso zenkampani ngokwemilinganiselo yobuninzi (iiyure zomntu okanye iiyure zoomatshini, i-vCPU, i-RAM, iDiski).
  • Indlela yokunciphisa iindleko ezipheleleyo zophuhliso kukunciphisa iindleko zokwenza imisebenzi ye-serial esemgangathweni: izigaba kunye namanyathelo enkqubo yezobuchwepheshe.
  • Umsebenzi oqhelekileyo ngumsebenzi onesisombululo esipheleleyo okanye esipheleleyo ngokuzenzekelayo, asibangeli ubunzima kubadlali kwaye ayifuni iindleko ezibalulekileyo zabasebenzi.
  • Inkqubo yokuvelisa iqulethe izigaba, izigaba zihlulwe zibe ngamanyathelo angabonakaliyo, amele imisebenzi eqhelekileyo yemilinganiselo eyahlukeneyo kunye nemiqulu.
  • Ukusuka kwimisebenzi eyodwa esemgangathweni siye safika kwiintambo zeteknoloji eziyinkimbinkimbi kunye neemodeli zamanqanaba amaninzi enkqubo yokuvelisa, enokuthi ichazwe ngemodeli esebenzayo ye-IDEF0 okanye imephu yezobuchwepheshe elula.
  • I-flow chart yitheyibhuli ebonisa amanqanaba kunye namanyathelo enkqubo yokuvelisa. Eyona nto ibalulekileyo: imephu ikuvumela ukuba ubone yonke inkqubo ngokupheleleyo, ngamaqhekeza amakhulu kunye nokuba nokwenzeka kokuchaza.
  • Ngokusekelwe kwimephu yezobuchwepheshe, unokuvavanya imfuno yokuphumeza izigaba kwimveliso ethile, ukucima iindawo zoxanduva, uvumelane ngeekhontrakthi zamagalelo kunye neziphumo zezigaba, kunye nokuvavanya ngokuchanekileyo imfuno yezibonelelo.

Kumanqaku alandelayo siza kuthetha ngokubanzi malunga neziphi izixhobo zobugcisa ezisetyenziselwa ukuphumeza izigaba ezithile zobuchwepheshe kwimephu yethu.

Ababhali beli nqaku:

  • Alexander Pazdnikov - INtloko yeSebe le-Automation (DevOps) kwi-Positive Technologies
  • UTimur Gilmullin - usekela INtloko yeSebe le-Automation (DevOps) kwi-Positive Technologies

umthombo: www.habr.com

Yongeza izimvo